science and technology Equivalent skill for Create Detail By feedproxy.google.com Published On :: Tue, 11 Feb 2020 01:54:04 GMT Hi Guys, Anyone know equivalent skill for create detail. Eugene Full Article
science and technology commands that was performed by GUI By feedproxy.google.com Published On :: Wed, 19 Feb 2020 05:35:07 GMT hello there, i'm a student studying allegro PCB designer. There are some commands that i can do with GUI, but i want to know what kind of commands i used so that i can route with commands only(ex) skill). Is there any file that i can see what kind of commands i used something like log files or command history? thank you for reading this long boring question. Full Article
science and technology axlDBTextBlockCompact(nil) By feedproxy.google.com Published On :: Thu, 20 Feb 2020 23:10:47 GMT I am trying to understand why axlDBTextBlockCompact(nil) on my test case says it can compact the text blocks down to 38, whereas I find only a total of 26 unique text block references in axlDBGetDesign()->text, axlDBGetDesign()->symbols and axlDBGetDesign()->symdefs. Where else are text blocks used besides these three? Full Article
science and technology Updating/replacing/creating new film records By feedproxy.google.com Published On :: Sat, 22 Feb 2020 01:08:11 GMT We have many legacy board designs which have non-standard films. I'm writing SKILL code to automatically align a board's film records with our internal standard. While I'm sure there will be multiple questions, here are the first two I've run into: 1. It seems the polyCutLayer parameter of axlFilmCreate() doesn't work. You can easily see this for yourself. Try typing "axlFilmCreate("test" ?polyCutLayer nil)" on the command window in Allegro. I'm returned "nil", indicating the film could not be created, and I see "*WARNING* (axlFilmCreate): Invalid option type: ?polyCutLayer" in the command window. Just to try a different parameter and see that it works, try "axlFilmCreate("test" ?negative t)". I'm returned a "t" and the film is created. Page 139 of 17.4-2019 algroskill.pdf shows this parameter and I can see it listed if I inspect an existing from from the DB, so what gives? Is the polyCutLayer parameter broken when creating films? 2. In conjunction with the above, if I loop through all current films and use axlDeleteObject() to remove them all, and then try to create new films but give an argument to the polyCutLayer parameter, films containing copper layers seem to be automatically created. There are four films (my test board has four layers) with the ETCH/, PIN/, and VIA CLASS/ subclasses. I am able to manually delete all films and see absolutely no films at all. Is there something weird going on here or is this to be expected for some reason? I'm running Allegro 17.4s002. Full Article
science and technology E- (SPMHDB-187): SHAPE boundary may not cross itself. By feedproxy.google.com Published On :: Mon, 24 Feb 2020 07:26:13 GMT Hi experts, I have a problem with my design as below ERROR: in SHAPE (-2.3622 2.3622) class = ETCH subclass = TOP Part of Symbol Def SHAPE_4725X4725. Which is part of a padstack as a SHAPE symbol. ERROR(SPMHDB-187): SHAPE boundary may not cross itself. Error cannot be fixed. Object has first point location at (-2.3622 2.3622).Can you tell me how to solve my problem?Thanks a lot. Full Article
science and technology Compare the database footprint with library footprint -Skill By feedproxy.google.com Published On :: Wed, 26 Feb 2020 05:34:49 GMT I would like to generate the comparison report of database footprint with library footprint if any mismatch available. Is there a way to take if it possible means can anyone please guide me or share me the skill code please. Thanks, Pradeep Full Article
science and technology Skill code to Calculating PCB Real-estate usage using placement boundaries and package keep ins By feedproxy.google.com Published On :: Wed, 04 Mar 2020 18:37:43 GMT Other tools allow a sanity check of placement density vs available board space. There is an older post "Skill code to evaluate all components area (Accumulative Place bound area)" (9 years ago) that has a couple of examples that no longer work or expired. This would be useful to provide feedback to schismatic and project managers regarding the component density on the PCB and how it will affect the routing abilities. Thermal considerations can be evaluated as well Has anyone attempted this or still being done externally in spread sheets? Full Article
science and technology How to force the garbage collection By feedproxy.google.com Published On :: Thu, 05 Mar 2020 03:31:57 GMT I have a script to handle many polys in memory in allegro. But after the completion of the script, I run the axlPolyMemUse(), it reports (31922 0 0 55076 252482) Seems too many polys are still in the memory,and they are not being used. So how to delete these polys from the memory? And reclaim the memory? BTW. I have no skill dev license. So gc() function doesn't work. Thanks. Full Article
science and technology Find pin attached to a cline By feedproxy.google.com Published On :: Mon, 09 Mar 2020 02:17:47 GMT Hello All, After selecting a cline (using axlSingleSelectBox), may I know how to obtain the dbid of the 'pin' connected to the end of the cline? Thanks All Full Article
science and technology Skill : Draw Line 17.2 works , 17.4 doesn't By feedproxy.google.com Published On :: Mon, 09 Mar 2020 08:21:00 GMT Hi , I am sharing with you some simple skill script that draw line in user layer : axlCmdRegister("DrawLine" 'DrawLine)procedure(DrawLine() layer_name = "substrate geometry/userlayer" mypopup = axlUIPopupDefine(nil '( ("Done" "axlDBTransactionCommit(mark), axlFinishEnterFun()") ("Oops" "axlDBTransactionOops(mark), when(zerop(--oopsNum)") ("Cancel" "axlDBTransactionRollback(mark), axlCancelEnterFun()") ("MENU_SEPARATOR", nil))) axlUIPopupSet( mypopup) ; Clear the dynamic buffer axlClearDynamics() if(axlLayerGet(layer_name) != nil then if(axlIsVisibleLayer(layer_name) == nil then axlVisibleLayer(layer_name,t) axlVisibleUpdate(t) );End if else if(axlLayerGet("substrate geometry") == nil then layer_name = "board geometry/userlayer" axlLayerCreateNonConductor(layer_name) axlVisibleLayer(layer_name,t) axlVisibleUpdate(t) else axlLayerCreateNonConductor(layer_name) axlVisibleLayer(layer_name,t) axlVisibleUpdate(t) );End if );End if ; Clear mypath to nil, then loop gathering user picks: mypath = nil mark = axlDBTransactionStart() flag = t allP = list(nil) seg1 = nil seg2 = nil while( (mypath = axlEnterPath(?lastPath mypath)) if(flag == t then p = axlDBCreatePath(mypath, layer_name) seg1 = car(car(car(p))->segments) seg2 = car(cdr(car(car(p))->segments)) path = axlPathStart( list(car(seg1->startEnd)) , 0) axlPathLine( path , 0 , car(cdr(seg1->startEnd))) if(seg2 then axlPathLine( path , 0 , car(cdr(seg2->startEnd))) );end if flag = nil else p = axlDBCreatePath(mypath, layer_name) seg1 = car(car(car(p))->segments) seg2 = car(cdr(car(car(p))->segments)) axlPathLine( path , 0 , car(cdr(seg1->startEnd))) if(seg2 then axlPathLine( path , 0 , car(cdr(seg2->startEnd))) );end if );end if allP = cons(car(car(p)) allP) );Loop axlDBCreatePath(path, layer_name) forall( x allP axlDeleteObject(x)));End procedure Is anyone can help to understand why this script can work with 16.5/16.6/17.2 and doesn't work with 17.4 ? To be more informative in 17.4 this script behaves differently , when i am trying to draw line i can't zoom in/out ,i can't use my shortcuts to snap it on segment/middle/edge , it's like it's waiting only for next X/Y user click , all other functions just disabled . Thanks . Full Article
science and technology is there a way to use axlDBCreateShape to create a Dynamic shape attached to a symbol? By feedproxy.google.com Published On :: Mon, 09 Mar 2020 21:12:47 GMT Currently I tried this: axlDBCreateShape(recPolyPlanes t "BOUNDARY/L02" netName sym1) I get a atom error on car(sym1) I can do this "static" using ETCH/L02 with out an issue, but I am trying to avoid doing an axlShapeChangeDynamicType(). Thanks, Jerry Full Article
science and technology axlShapeAutoVoid not voiding Backdrill shapes By feedproxy.google.com Published On :: Fri, 13 Mar 2020 22:49:44 GMT Hi all, I am creating shapes on plane layers for a coupon and want to void them using axlShapeAutoVoid() The shapes are attached to a symbol. I've tried using axlShapeAutoVoid, but this only voids the pins, not the route keepouts created by nc_backdrill. I also tried selecting the shape, individually, then running axlShapeAutoVoid. That was unsuccessful, also. planeShapes is a list of shapes I created. The code for voiding: ;run backdrill to get route keepouts axlShell("setwindow pcb;backdrill setup ;setwindow form.nc_backdrill;FORM nc_backdrill apply ;FORM nc_backdrill close") foreach(sHape planeShapes axlShapeAutoVoid(car(sHape)) ) Full Article
science and technology Looking for ADVFC32 SPICE Model By feedproxy.google.com Published On :: Mon, 16 Mar 2020 13:56:51 GMT I'm working on a circuit that requires the input voltage to be converted to a frequency, transmitted over an optical cable, and then converted back to a voltage. I am attempting to simulate this circuit using Eagle ngSpice simulations. The voltage to frequency converters that I am using are ADVFC32 and made by Analog Devices. However, I can't seem to find a SPICE model for this component. Analog Devices does not provide it on their website. Can anyone find a SPICE Model for this part? I'm new to working with electronics so any help/advice you can provide would be appreciated. Full Article
science and technology Calculating timing delay from routed channel length By feedproxy.google.com Published On :: Tue, 17 Mar 2020 04:33:10 GMT Hello, i am a student who is studying Allegro tool with SKILL. I have a question about SKILL axlSegDelayAndZ0. The reference says this function "returns the delay and impedance of a cline segment." I want to know how many components does this tool consider when calculating timing delay from the length. How steep is input signal's rise transition? Is rise transition shape isosceles trapezoid or differential increasing shape? Also, if it is a multi fan-out, the rise transition time will be different net by net. How can this tool can calculate in this case? I want to hear answers about these questions. Thank you for reading this long boring questions, and i will be waiting for answers. Full Article
science and technology DRC Element Report By feedproxy.google.com Published On :: Thu, 19 Mar 2020 04:12:20 GMT Hi, I have to Take DRC report by cadence skill code I don't know the command to get Element 1 and Element 2 Report any one please help me out. Full Article
science and technology SKILL script for Subclasses and Artworks By feedproxy.google.com Published On :: Tue, 31 Mar 2020 17:25:18 GMT I have made a customized menu in PCB Editor which I now would like to fill with content. First of all I would like to have commands to add (or delete) layers in the board. I have parameter files (.prm) that describes both the stackup and the artwork for 2, 4, 6 and 8 layers. I guess I could record a script (macro) where I use the "Import Parameter file" dialogue but this will get windows flickering by etc. Can I do this with SKILL instead? I realize that it is possible (somehow) to do a SKILL-script that completely builds up the stackup and artworks for boards with different number of layers but I then have to edit the SKILL everytime I need to change anything. My thinking is that it perhaps is easier just to call the prm-file, which is easy to modify from within Allegro without knowing anything about SKILL. I'm also looking for a solution to remove some Subclasses, containing certain keywords with a SKILL script but since I'm completely new to SKILL I don't really know where to begin. Any assistance would be much appreciated. Full Article
science and technology How to reload a SKILL-script in Allegro By feedproxy.google.com Published On :: Thu, 02 Apr 2020 06:26:49 GMT I am working on some SKILL scripts which are loaded by allegro.ilinit at startup. If I edit my .il-files how do I get them updated in Allegro? Right now I restart the program but there must be a simpler way. A newbie question, I know... Full Article
science and technology PCB Editor SKILL program for finding pin location By feedproxy.google.com Published On :: Mon, 20 Apr 2020 06:27:34 GMT Hi, I wanted to find the location of a pin in the design using skill program. pin_dbids = axlDBGetDesign()->pins, this gives me all the dbids of the pins that are present in my design. But when im entering that dbid, pad = axlDBGetPad("000001EA8FD8B9F8" "package geometry/assembly_top" "regular") it is throwing an error stating "This dbid is not user defined. Please enter the user defined". So please provide me a snippet so that I can get the exact pin location in the design using skill script. Full Article
science and technology How to call a skil file in the other skill file to create one new function. By feedproxy.google.com Published On :: Mon, 20 Apr 2020 11:05:56 GMT Hi guys, eDave, I need to call (replay) a skill to combine some skills to ONE UI for more convenience and using as more easier. Please help me to find the command to execute this.(code for example as more good) HT, Full Article
science and technology How to get the location of Assembly Line By feedproxy.google.com Published On :: Tue, 21 Apr 2020 11:41:14 GMT Hi I'm trying to find the location of the assembly line in the design automatically without using "Show Element". And also I want to find the end points of that line. The line exists in "Package Geometry/Assembly_Top" Layer. So is there any code snippet to find the location of assembly line? Full Article
science and technology Creating a circle at 10 mil air gap from a pin By feedproxy.google.com Published On :: Wed, 22 Apr 2020 10:22:04 GMT Hi, I'm trying to create a circle from a pin with 10 mil air gap and at 45 degree rotation. The problem that im facing is that, I'm unable to get the bBox upper left coordinates. Because I want my circle to be placed from that coordinate with a 10 mil air gap. And the pins are "regular" and are placed on "Etch/Top" Layer. Kindly help me in solving this issue. Full Article
science and technology Inconsistent behaviour of warn() between Virtuoso and Allegro By feedproxy.google.com Published On :: Thu, 23 Apr 2020 09:27:22 GMT For a project, we depend on capturing warnings. This works fine in Virtuoso but behaves differently in Allegro. In our observations Virtuoso: >>> warn("Hello") *WARNING* Hello Allegro: >>> warn("Hello") *WARNING* Hello But when we capture the warning: Virtuoso: >>> warn("Hello") getWarn() "Hello" Allegro: >>> warn("Hello") getWarn() "*WARNING* Hello" This is a Problem for because we put an empty String in the warn and depend on the fact that no Warning results in an empty String but on Allegro the output always begins with *WARNING* Is there a way to make the behavior consistent in both versions? Full Article
science and technology Breaking a clineseg into multiple segments with SKILL code By feedproxy.google.com Published On :: Fri, 24 Apr 2020 08:44:49 GMT Hello All, May I know if there is a way to breakup a selected clinesegment into a few clinesegments by just using SKILL code Thanks All Full Article
science and technology Floating Point Error By feedproxy.google.com Published On :: Tue, 28 Apr 2020 04:46:47 GMT I am trying to create NoProbeTop using skill language for the chip component. My code snippet is :thisNPTShape2 = axlDBCreateOpenShape(path t "MANUFACTURING/NO_PROBE_TOP" nil ) I am getting the below output values:path = _axlPath@0x24399f41d28. *WARNING* (axlDBCreateOpenShape): Not a floating-point number! - nil Can you guide me on how to convert to floating-point/get out of the floating-point error? Full Article
science and technology Get Form Path By feedproxy.google.com Published On :: Tue, 28 Apr 2020 09:28:06 GMT Hello All, Is there a SKILL function to obtain the Form path (similar to getSkillPath). If not, is the a workaround to obtain the Form path? Thanks All Full Article
science and technology VManager wrongly imports failed test as passed By feedproxy.google.com Published On :: Fri, 18 Oct 2019 12:48:38 GMT Hello,I'm exploring VManager tool capabilities. I launched a simulation with xrun, which terminates with a fatal error (`uvm_fatal actually). Then I imported the flow session, through VManager -> Regression -> Collect Runs, linking the directory with ucm and ucd of just failed run. VManager imports the test with following attributes: Total Runs =1 #Passed =1 #Failed =0 What I'm missing here? It should be imported as failed test. If I right click on flow name and choose Analyze All Runs, VManager brings me to Analysis tab and I can see only a PASSED tag in Runs subwindow. Thank you for any help Full Article
science and technology Design library not defined while reading module with ncsim By feedproxy.google.com Published On :: Fri, 25 Oct 2019 08:27:37 GMT Hi supporters, I got the following error while I run simulation with gate netlist using Cadence Incisive (v15.20): ---- ncsim(64): 15.20-s076: (c) Copyright 1995-2019 Cadence Design Systems, Inc.ncsim: *E,DLOALB: Design library 'tcbnxxx' not defined while reading module tcbnxxx.MAOxxx:bv (VST).ncsim: *F,NOSIMU: Errors initializing simulation 'alu_tb' ---- xxx: standard library name. My netlist design uses a cell "MAOxxx". I already included the library behavior model to compile using ncverilog, there is no error while compiling. But when I run with ncsim to execute the test, I got above error. I tried to run with other vendors such as VCS or MTI, they worked. Please help to understand the error. Thanks. Full Article
science and technology Simvision Schematic Information By feedproxy.google.com Published On :: Wed, 20 Nov 2019 12:32:26 GMT Hi all, I would like to understand if it is possible from Simvision to get the information regarding the view of a block. In principle using the Schematic Tracer Simvision is able to find the information about the config of that particular model, but I did not found a command for describing the nature of the module (for example if it is schematic or rtl or real model...) Any functions that I can use for this purpose? Many thanks Full Article
science and technology IMC : fsm coding style not auto extracted/Identified by IMC By feedproxy.google.com Published On :: Mon, 09 Dec 2019 20:27:44 GMT Hi, I've vhdl block containing fsm . IMC not able to auto extract the state machine coded like this: There is a intermediate state state_mux between next_state & state. Pls. help in guiding IMC how to recognize this FSM coding style? Snipped of the fsm code: ---------------------------------------------------------------------------------------------------------------------------------------------- type state_type is (ST_IDLE, ST_ADDRESS, ST_ACK_ADDRESS, ST_READ, ST_ACK_READ, ST_WRITE, ST_ACK_WRITE, ST_IDLE_BYTE); signal state : state_type; signal state_mux : state_type; signal next_state : state_type; process(state_mux, start) begin next_state <= state_mux; next_count <= (others => '0'); case (state_mux) is when ST_IDLE => if(start = '1') then next_state <= ST_ADDRESS; end if; when ST_ADDRESS => ……………. when others => null; end case; end process; process(scl_clk_n, active_rstn) begin if(active_rstn = '0') then state <= ST_IDLE after delay_f; elsif(scl_clk_n'event and scl_clk_n = '1') then state <= next_state after delay_f; end if; end process; process(state, start) begin state_mux <= state; if(start = '1') then state_mux <= ST_IDLE; end if; end process; Thanks Raghu Full Article
science and technology Encryption of IP for Simulation with IES By feedproxy.google.com Published On :: Thu, 12 Dec 2019 16:00:59 GMT I'm sending encrypted HDL to a customer who will use Cadence IES for simulation and was wondering how I should go about the encryption. Does IES support the IEEE's P1735 and if so, where can I find Cadence's public key for performing the encryption? Or is there an alternative solution that I can use for encryption? Full Article
science and technology IMC: toggle coverage for package array By feedproxy.google.com Published On :: Mon, 23 Dec 2019 12:01:28 GMT Hello! I have input signal like this -> input wire [ADM_NUM-1:0][1:0] m_axi_ddr_rresp. When i want to analyze coverage from IMC this signal not covered! Can i collect coverage for this signal? Full Article
science and technology How do we use the concept of Save and Restore during real developing(debugging)???/ By feedproxy.google.com Published On :: Thu, 26 Dec 2019 11:41:39 GMT Hi All, I'm trying to understand checkpoint concept. When I found save and restart concept in cdnshelp, There is just describing about "$save" and "xrun -r "~~~". and I found also the below link about save restart and it saves your time. But I can't find any benefits from my experiment from save&restart article( I fully agree..the article) Ok, So I'v got some experiment Here. 1. I declared $save and got the below result as I expected within the simple UVM code. In UVM code... $display("TEST1");$display("TEST2");$save("SAVE_TEST");$display("TEST3");$display("TEST4"); And I restart at "SAVE_TEST" point by xrun -r "SAVE_TEST", I've got the below log xcelium> runTEST3TEST4 Ok, It's Good what I expected.(The concept of Save and Restore is simple: instead of re-initializing your simulation every time you want to run a test, only initialize it once. Then you can save the simulation as a “snapshot” and re-run it from that point to avoid hours of initialization times. It used to be inconvenient. I agree..) 2. But The Problem is that I can't restart with modified code. Let's see the below example. I just modified TEST5 instead of "TEST3" $display("TEST1");$display("TEST2");$save("SAVE_TEST");$display("TEST5"); //$display("TEST3");$display("TEST4"); and I rerun with xrun -r "SAVE_TEST", then I've got the same log xcelium> runTEST3TEST4 There is no "TEST5". Actually I expected "TEST5" in the log.From here We know $save can't support partially modified code after $save. Actually, through this, we can approach to our goal about saving developing time. So I want to know Is there any possible way that instead of re-initializing our simulation every time we want to run a test, only initialize it once and keep developing(debugging) our code ? If we do, Could you let me know the simple example? Full Article
science and technology Can't collect AXI4 burst_started coverage By feedproxy.google.com Published On :: Mon, 30 Dec 2019 12:01:53 GMT I have a problem connected with my AXI4 coverage. I enable coverage collection in AXI4 set_config_int("axi4_active_slave_agent_0.monitor.coverModel", "burst_started_enable", 1); set_config_int("axi4_active_slave_agent_0.monitor.coverModel", "coverageEnable", 1); but i don't have a result. I think the problem in Callback, but i try to connect all callback and i don't have positive result. Can you help me? Full Article
science and technology How to run a regressive test and merge the ncsim.trn file of all test into a single file to view the waveform in simvision ? By feedproxy.google.com Published On :: Mon, 13 Jan 2020 12:04:01 GMT Hi all, I want to know how to run a regressive test in cadence and merge all ncsim .trn file of each test case into a single file to view all waveform in simvision. I am using Makefile to invoke the test case. eg:- test0: irun -uvm -sv -access +rwc $(RTL) $(INTER) $(PKG) $(TOP) $(probe) +UVM_VERBOSITY=UVM_MEDIUM +UVM_TESTNAME=test0 test1: irun -uvm -sv -access +rwc $(RTL) $(INTER) $(PKG) $(TOP) $(probe) +UVM_VERBOSITY=UVM_MEDIUM +UVM_TESTNAME=test1 I just to call test0 followed by test1 or parallel both test and view the waveform for both tests case. I new to this tool and help me with it Full Article
science and technology Coverage error By feedproxy.google.com Published On :: Thu, 16 Jan 2020 08:17:20 GMT Hi all, I am getting this warning in while generating the coverage report, can you help me to clear this warning? ncsim: *W,COVOPM: Coverage configuration file command "set_covergroup -optimize_model" can be specified to improve the performance and scalability of coverage model containing SV covergroups. It may be noted that subsequent merging of a coverage database saved with this command and a coverage database saved without this command is not allowed. Full Article
science and technology Developing a solid DV flow : xrun wrapper tool By feedproxy.google.com Published On :: Sat, 18 Jan 2020 20:10:05 GMT Hi all, I need to develop a digital design/verification solution to compile,elaborate and simulate SV designs (basically a complex xrun wrapper). I am an experienced user of xrun and I have done a number of these wrappers over the years but this one is to be more of a tool, intented to be used Company-wise, so it needs to be very well thought and engineered. It needs to be robust, simple and extensible. It needs to support multi-snapshot elaboration, run regressions on machine farms, collect coverage, create reports, etc. I've been browsing the vast amount of documentation on XCELIUM and, although very good, I can't find any document which puts together all the pieces of what I am trying to achieve. I suppose I am more clear on the elaboration, compilation and simulation part but I am really lacking on the other areas like : LSF, regressions coverage, where does vManager fits in all this, etc. I'd appreciate if someone can comment on whether there is a document which depicts how such a DV flow can be put together from scratch, or whether there is a kind of RAK with some example xrun wrapper. Thanks Full Article
science and technology Design of DC motor model By feedproxy.google.com Published On :: Tue, 21 Jan 2020 07:32:56 GMT Hi I want develope basic circuit of DC motor which consist of resistor, inductor and back emf in capture and check its simulation in pspice, for reference I have attached image and link. https://www.precisionmicrodrives.com/content/ab-025-using-spice-to-model-dc-motors/ . Full Article
science and technology xmsim is not exiting the simulation for this error By feedproxy.google.com Published On :: Thu, 23 Jan 2020 18:38:33 GMT xmsim is not exiting the simulation for this error. It is unusual for the simulator to not exit for an error. I have just started using uvm and this is occurring during the randomization step for a sequencer item. xmsim: *E,RNDCNSTE I am using -EXIT on the command line. I am using Xcelium 19.03-s013. Any insights are appreciated. Thanks. -Jim Full Article
science and technology Xcelium Probe -Screen Issue By feedproxy.google.com Published On :: Sun, 26 Jan 2020 16:29:01 GMT Hi All, I want to capture the transition values of certain nodes in a design (i.e. a digital multiplier built with standard cells) and I use probe -screen command to dump the nodal values in text format. Since I only need to capture these values in the ideal situation, I use -nospecify switch with the xrun command : xrun -clean R16FA_2009.v R4BE_Test.v tb_stop16.v -v stdlib_verilog_models-sdf30.v -access +rwc -mess -timescale 1ns/1ps -nospecify -gui & and the probe command goes like this : probe -screen tb_stop16.mul16.test.L1 -redirect probe1.txt -format "%T L1 Value: %b" //Here L1 is an array of wires Although I expect a single transition at a given time instance, I see multiple transitions occurring in the dumped probe1.txt file. i.e. Time: 300 PS : 48'bxx0xx0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx0xx0xx11xTime: 300 PS : 48'b000000000000000000000000000000000000000000000110Time: 4 NS : 48'b001000000000000000000000000000000000000000000100Time: 4 NS : 48'b011000000010111111111001000000110011011001010101Time: 8 NS : 48'b010000000010111111111001000000110011011001010101Time: 8 NS : 48'b110100101100000110000111100001010010111001011100Time: 12 NS : 48'b010000110011100010001110011100010101010001010101Time: 16 NS : 48'b000010000010000000000000000010000000010010010100Time: 20 NS : 48'b000011000010000000000000000010000000010010010100Time: 20 NS : 48'b000001001001001001011011000010001010011010010100 From the waveform, it appears that only the second value (bold) of the time instance is correct. Since the simulation is without annotated delays, there are no intermediate transitions in the waveform. How could this be possible ? Thanks in advance Full Article
science and technology Info regarding released version Cadence IES simulator By feedproxy.google.com Published On :: Thu, 06 Feb 2020 07:42:31 GMT Hello folks, Greetings. One of my customer claims that he is using Cadence IES version 18.09.011 with Vivado 2019.2. The version of IES that we officially support with Vivado 2019.2 is 15.20.073. Though the tool is forward compatible, I am not sure what are the versions of IES that are released after 15.20.073. Could you please give me a list of the versions of Cadence IES released after 15.20.073 and which is the latest version as of now ? Best regards, Chinmay Full Article
science and technology Running xrun command in vsif file By feedproxy.google.com Published On :: Fri, 07 Feb 2020 10:11:37 GMT Hi, I found a basic Specman E/Verilog program at http://www.asic-world.com/examples/specman/memory.html and I would like to run it through a vsif file, with vManager. I'm able to run it, without problems, with this command : xrun -Q -unbuffered '-timescale' '1ns/1ns' '-access' '+rw' memory_tb.v mem_tb_top.e test_write_read_all.e. I wrote a first vsif which look like this: ---- vm_basic.vsif ----- session vm_basic { top_dir : /home/cadence/xrunTest/; output_mode: terminal;};group basic { test test { run_script: xrun -Q -unbuffered '-timescale' '1ns/1ns' '-access' '+rw' memory_tb.v mem_tb_top.e test_write_read_all.e };}; ---------------------------- This solution didn't work due to the prompt change with xrun, and I have no clue how to manage this issue. Have you any idea? Best regards, Yohan Full Article
science and technology Incisive Metrics Center User Guide By feedproxy.google.com Published On :: Tue, 11 Feb 2020 02:26:56 GMT Hi Team, I would like to download "Incisive Metrics Center User Guide", I could not find in the cadence/support/manuals. Can you please provide me the link or path to download the same ? I am doing functional coverage with IMC. Thank You, Mahesh Full Article
science and technology How to refer the library compiled by INCISIVE 13.20 in Xcelium 19.30 By feedproxy.google.com Published On :: Wed, 19 Feb 2020 08:56:22 GMT Hi, I am facing this elaboration error when using Xcelium: Command> xmverilog -v200x +access+r +xm64bit -f vlist -reflib plib -timescale 1ns/1ps Log> xmelab: *E,CUVMUR (<name>.v,538|18): instance 'LUTP0.C GLAT3' of design unit 'tlatntscad12' is unresolved in 'worklib.LUTP0:v'. I guess the plib was not referred to as the simulation configuration because the tlatntscad12 is included in plib. The plib is compiled by INCISIVE 13.20 and I am using the Xcelium 19.30. Please tell me the correct command on how to refer to the library directory compiled by different versions. Thank you, Full Article
science and technology How to remove sessions from vManager without deleting them By feedproxy.google.com Published On :: Mon, 02 Mar 2020 23:35:09 GMT I am importing sessions which are run by other people to analyse and I would like to remove them from my vManager Regressions tab as they become obsolete. As I am not the original person who run the sims, I cannot "delete" sessions. What are my options? Thanks. Full Article
science and technology Is it possible to get a diff between two coverage databases in IMC? By feedproxy.google.com Published On :: Tue, 10 Mar 2020 11:33:50 GMT I'm in the process of weeding a regression test list. I have a coverage database from the full regression list and would like to diff it with the coverage database from the new reduced regression test list. If possible I would than like to trace back any buckets covered with the full list, but not with the partial list, into the original tests that covered them. Is that possible using IMC? if not, is it possible to do from Specman itself? (Note that we're not using vManager) Thanks, Avidan Full Article
science and technology search for glob/regexp in specman loaded modules? By feedproxy.google.com Published On :: Wed, 25 Mar 2020 04:24:22 GMT Specman *search* command allows searching in all loaded modules, but only for a string. Is there a way to search for a regexp or glob? Alternatively, is there a way to simply get a list of all loaded files somehow? Then I could use either the "shell" command, or real shell together with grep. Thanks Full Article
science and technology XmVlog - *F,DIRDEC error By feedproxy.google.com Published On :: Fri, 27 Mar 2020 23:45:55 GMT I'm trying to compile a simple verilog file using xmvlog. I run the following command,"xmvlog myfile.v"Then I get the following error, "xmvlog: *F,DIRDEC: Can't save decompressed versions of compressed files." I used to use xmvlog with no issues, this error started to come up now. The message is not helpful either. How can I solve this? I appreciate any help, thanks in advance. Full Article
science and technology Post synthesis simulation with XCELIUM - SDF By feedproxy.google.com Published On :: Sat, 11 Apr 2020 12:27:22 GMT hi,due to technical problem i am running simulation through terminal. Therefore, I have a Verilog file, a test bench and i have also exported from Genus synthesized netlist and sdf file. Now, how can i annotate sdf in my post-synthesis simulation using XCELIUM while using command line?thank you Full Article
science and technology How to get product to license feature mapping information? By feedproxy.google.com Published On :: Wed, 06 May 2020 03:45:06 GMT When I run simulation with irun, it may use may license features. How can I know which feature(s) a product use? I get below message in cdnshelp: ------------------------------------------------------------- Which Products Are in the License File? One Cadence product can require more than one license (FEATURE). The product to feature mapping in the license file lists the licenses each product needs. For example, if the license file lists these features for the NC-VHDL Simulator: Product Name: Cadence(R) NC-VHDL Simulator# Type: Floating Exp Date: 31-jul-2006 Qty: 1# Feature: NC_VHDL_Simulator [Version: 9999.999]# Feature: Affirma_sim_analysis_env [Version: 9999.999] ------------------------------------------------------------------- But, in my license file, I can't find such info. There is only "FEATURE" lines in my license file. How can I get product to feature mapping info? Thanks! Full Article
science and technology Failed to inject fault at (ncsim) By feedproxy.google.com Published On :: Thu, 07 May 2020 17:38:56 GMT Hi, I'm doing fault injection with ncsim and got stuck at the following (and not so useful) message: "ncsim: *E,FLTIGF: [FLT] Failed to inject fault at circuit_tb.U0.n2174." I already tried with other NETs, with SET, SA0, SA1, always the same error occurs. My scripts so far, considering I already compiled the Verilog testbench and also the gates from the technology library (gate-level simulation): #this runs ok ncelab -work worklib -cdslib circuit/trunk/backend/synthesis/work/cds.lib -logfile ncelab.log -errormax 15 -access +wc -status -timescale 1ps/1ps worklib.circuit_tb -fault_file circuit/trunk/backend/synthesis/scripts/fi.list #this runs okncsim -fault_good_run -fault_tw 1ns:4ns -fault_work fault_db -fault_overwrite worklib.circuit_tb:module -input ../scripts/fs_strobe.tcl -exit #this runs NOT OKncsim -fault_sim_run -fault_work fault_db worklib.circuit_tb:module -input ../scripts/injection.tcl -exit After the above command I get: "ncsim: *E,FLTIGF: [FLT] Failed to inject fault at circuit_tb.U0.n2174." Here are the files called from the commands above. fi.list: fault_target circuit_tb.U0.n2174 -type SET+SA1+SA0 fs_strobe.tcl: fs_strobe circuit_tb.WRITE_OUT circuit_tb.PC_OUT[0] injection.tcl: fault -stop_severity 3 -inject -time 2ns -type sa1 circuit_tb.U0.n2174 I already checked the NETs with simvision, so their paths are correct. Any ideas? PS: I know about Xcellium, however, I don't have it yet. Full Article