es Importing ODF to vManager does not update vplan By community.cadence.com Published On :: Tue, 05 Mar 2024 06:20:00 GMT I exported vplan to .odf file in vManager and after editing it I imported it to vManager. The vplan was expected to be synchronized and updated. However, nothing has changed to it. Does anyone know why? Full Article
es UVM debugging: How to save and load signals during an interactive session in Simvision By community.cadence.com Published On :: Thu, 07 Mar 2024 23:18:50 GMT Hello, I am aware of command script .svcf file that saves signals and loads them in while opening Simvision. I am wondering, if there is a way for saving signals while we are in an interactive session and loading them next time when we open Simvision interactively. Any ideas on how to do this? Thank you in advance. Swetha. C Full Article
es vManager crashes when analyzing multiple sessions simultaneously with a fatal error detected by the Java Runtime Environment By community.cadence.com Published On :: Sat, 16 Mar 2024 04:34:41 GMT When analyzing multiple sessions simultaneously Verisium Manager crashed and reported below error messages: # A fatal error has been detected by the Java Runtime Environment: # # SIGSEGV (0xb) at pc=0x00007efc52861b74, pid=14182, tid=18380 # # JRE version: OpenJDK Runtime Environment Temurin-17.0.3+7 (17.0.3+7) (build 17.0.3+7) # Java VM: OpenJDK 64-Bit Server VM Temurin-17.0.3+7 (17.0.3+7, mixed mode, sharing, tiered, compressed oops, compressed class ptrs, g1 gc, linux-amd64) # Problematic frame: # C [libucis.so+0x238b74] ...... For more details please refer to the attached log file "hs_err_pid21143.log". Two approaches were tried to solve this problem but neither has worked. Method.1: Setting larger heap size of Java process by "-memlimit" options.For example "vmanager -memlimit 8G". Method.2: Enlarging stack memory size limit of the Coverage engine by setting "IMC_NATIVE_STACKSIZE" environment variable to a larger value. For example "setenv IMC_NATIVE_STACKSIZE 1024000" According to "hs_err_pid*.log" it is almost certain that the memory overflow triggered Java's CrashOnOutOfMemoryError and caused Verisium Manager to crash. There are some arguments about memory management of Java like "Xms, Xmx, ThreadStackSize, Xss5048k etc" and maybe this problem can be fixed by setting these arguments during analysis. However, how exactly does Verisium Manager specify these arguments during analysis? I tried to set them by the form of setting environment variables before analysis but it didn't work in analysis and their values didn't change. Is there something wrong with my operation or is there a better solution? Thank you very much. Full Article
es Is it possible to automatically exclude registers or wires that are not used from toggle coverage? By community.cadence.com Published On :: Wed, 03 Jul 2024 12:04:29 GMT Hello, I have a question about toggle coverage. In my case, there are many unused registers or wires that are affecting the toggle coverage score negatively. Is it possible to automatically exclude registers or wires that are not used from toggle coverage? My RTL code is as follows, Is it possible to automatically disable tb.top1.b and tb.top1.c without using an exclude file? module top1; reg a; reg b; reg [31:0] c; initial begin #1 a=1'b0; #1 a=1'b1; #1 a=1'b0; end endmodule module tb; top1 top1(); endmodule Full Article
es Issues related to cadence xrun command By community.cadence.com Published On :: Thu, 08 Aug 2024 06:47:05 GMT We are trying to run compilation, elab and sim with command xrun -r -u alu, where alu is one of the units to execute. we are getting the following errors.1) xmsim: *E,DLMKDF: Unable to add default DEFINE std /home/xxxx/Cad/xcelium/tools/inca/files/STD. xmsim: *E,DLMKDF: Unable to add default DEFINE synopsys /home/xxxx/Cad/xcelium/tools/inca/files/SYNOPSYS 2) xmsim: *W,DLNOHV: Unable to find an 'hdl.var' file to load in. What is the purpose of hdl.var3) xmsim: *F,NOSNAP: Snapshot 'alu' does not exist in the libraries. I cannot see in log files, which libraries is it referring to?? Any one request you to help on how to debug these. Full Article
es Indago stops everytime sees the UVM_ERROR By community.cadence.com Published On :: Sun, 08 Sep 2024 02:56:06 GMT I am running simulation in gui mode using Indago and every time there is UVM_ERROR occur simulation stops. I have to resume it manually. is there any way to disable this feature. Full Article
es Using vManager to identify line coverage from a specific test By community.cadence.com Published On :: Tue, 24 Sep 2024 21:20:52 GMT I have been using the rank feature to identify tests that are redundant in our environment, but then I realized I'd also like to be able to see exactly what coverage goes into increasing the delta_cov value for a given test. If I had a test in my rank report that contributed 0.5% of the delta_cov, how could I got about seeing exactly where that 0.5% was coming from? It seems like that might be part of the correlate function, but I couldn't mange to find a way to see what specific coverage was being contributed for a given test. Full Article
es Auto-Coloring Waves in Simvision? By community.cadence.com Published On :: Wed, 25 Sep 2024 22:09:53 GMT Hello, First, I had something working that broke in the past few versions that I've been meaning to get working again. There was some setting I recall in the GUI that allowed me to have inputs be placed in the waveform viewer with yellow traces, and output signals with orange traces to match the name colors. How can I set this to happen in the .simvisionrc file? Second, I would like to add something to my .simvisionrc file to go through foreach signal and depending on key locations based on the signal's Path.Name (mainly the model and design areas) such that if the path contains "mon", then to auto-set the trace and name colors to something such as cyan. I'd like to have loops for various key areas of the design to color-code the signals. Third, I am interested if there is a possibility of coloring names/traces foregound colors to based on which position they are in the waveform viewer to make banding, ideally such that every three (or whatever) are one color (or a color mutation, adding some gray to signals colorized by the auto-coloring mentioned already, etc) that allows for the signal names/traces to be colorized along with the built-in optional black/gray background banding. Thanks in advance Full Article
es Cisco's utilities library donation By community.cadence.com Published On :: Fri, 18 May 2007 16:56:47 GMT Dear users, Cisco has graciously agreed to donate a library of several utilities packages to the e community. Please refer to the LIBRARY_README.txt for general information, and to each of the packages' PACKAGE_README.txt file for more information on each package. The tar file containing the utilities library is attached to this message. The zip file containing informational slides on Cisco's utility library packages is also attached. The zip file is 9 mg so may take a bit to download. The file is too big to fit on this post, so the unzipped files are posted in three separate entries below. For your convenience, we have also extracted the document “Directory Structure.doc” from the csco_base_env/docs location. Note: The library contains the csco_testflow package, adding phases to e's run phase. Cadence strongly encourages Customers to adopt the testflow phases feature that Cadence is releasing in Specman6.2. The new phases in e will be similar to the phases defined in the csco_testflow package, but will be a formal part of the e language. For more information please contact IPCM@cadence.com.Originally posted in cdnusers.org by meirav Full Article
es Register Classes for SystemVerilog OVM By community.cadence.com Published On :: Tue, 09 Sep 2008 23:20:24 GMT Hi, I am uploading a register class, which can be used for modeling hardware registers. I am uploading the source code and examples on how to run it. I also have a user guide which has all the APIs listed and explained. The user guide is ARV.pdf in the attached tar file. I have named the class ARV, which stands for Architect's Register View. It has got very good randomization and coverage features. Users have told me that its better than RAL. You can download it from http://verisilica.info/ARV.php. There is a limit of 750KB in this cadence website. The ARV file is 4MB. That is why, I am uploading it at this site. I have a big pdf documentation and a doxygen documentation there. That is the reason for the bigger file size. The password to open the ZIP file is ovm_arv. I hope, everyone will use these classes. Please contact me for any help. Regards ANil Full Article
es latest Specman-Matlab package By community.cadence.com Published On :: Tue, 15 Sep 2009 05:56:14 GMT Attached is the latest revision of the venerable Specman-Matlab package (Lead Application Engineer Jangook Lee is the latest to have refreshed it for a customer in Asia to support 64 bit mode. Look for a guest blog post from him on this package shortly.)There is a README file inside the package that gives a detailed overview, shows how to run a demo and/or validate it’s installed correctly, and explains the general test flow. The test file included in the package called "test_get_cmp_mdim.e" shows all the capabilities of the package, including:* Using Specman to initialize and tear down the Matlab engine in batch mode* Issuing Matlab commands from e-code, using the Specman command prompt to load .m files, initializing variables, and other operational tasks.* Transfering data to and from the Matlab engine to Specman / an e language test bench* Comparing data of previously retrieved Matlab arrays* Accessing Matlab arrays from e-code without converting them to e list data structure* Convert Matlab arrays into e-listsHappy coding!Team Specman Full Article
es help with automating adding CLP files to DRA files By community.cadence.com Published On :: Thu, 12 Jun 2014 16:50:37 GMT Question for forum: I’m currently working on a code to automatically add CLP files to DRA files and then add two classes called “APPROVED” and “CLP”. To do this manually you have to open a DRA file, click file import subdrawing and choose the clp file with the same name as dra. (path already set). You then set the clp to position x 0 0. And then click on Set Up > Subclasses > Package geometry and type in “Approved” and “Clp.” So far we’ve recorded the macros in Allegro for all of these actions. The macros correspond to one specific file name and we want to apply this to numerous files. To do this we created a python program that locates all of the specified CLP and DRA files, and if they have a matching name, runs a for loop that puts each file name into a stored variable that runs a loop for each file. We converted this script into batch and then added a function that we thought would run Allegro macros from batch. In order to get the script working, we need to have an allegro batch command that will run the script without opening the Allegro start popup, or closing the popup when it appears. We need to do this to run any script from starting Allegro. I’ve done another similar program in batch where I made a for loop for each dra file and within the loop there was a batch a2dxf command that converted all dra files to dxf files. Is there a similar batch command for adding clp files to position 0 0 and/ or adding classes? If anyone has done something similar please let me know! Thank you very much for the help. Jen Full Article
es Creating cover items for sparse values/queue or define in specman By community.cadence.com Published On :: Fri, 12 Jul 2019 17:51:31 GMT Hello, I have a question I want to create a cover that consists a sparse values, pre-computed (a list or define) for example l = {1; 4; 7; 9; 2048; 700} I'd like to cover that data a (uint(bits:16)) had those values, Any suggestion on how to achieve this, I'd prefer to stay away from macros, and avoid to write a lot of code struct inst { data :uint(bits:16); opcode :uint(bits:16); !valid_data : list of uint(bits:16) = {0; 12; 10; 700; 890; 293;}; event data_e; event opcode_e; cover data_e is { item data using radix = HEX, ranges = { //I dont want to write all of this range([0], "My range1"); range([10], "My range2"); //... many values in between range([700], "My rangen"); }; item opcode; cross data, opcode; }; post_generate() is also { emit data_e; };}; Full Article
es X-FAB's Innovative Communication and Automotive Designs: Powered by Cadence EMX Planar 3D Solver By community.cadence.com Published On :: Sun, 31 Jul 2022 17:01:00 GMT Using the EMX solver, X-FAB design engineers can efficiently develop next-generation RF technology for the latest communication standards (including sub-6GHz 5G, mmWave, UWB, etc.), which are enabling technologies for communications and electric vehicle (EV) wireless applications. (read more) Full Article EM Analysis electromagnetics in-design analysis reference design Electromagnetic analysis PDK foundry
es Overcoming Thermal Challenges in Modern Electronic Design By community.cadence.com Published On :: Tue, 09 Aug 2022 14:24:00 GMT Melika Roshandell talks with David Malinak in a Microwaves & RF QuickChat video about the thermal challenges in today’s complex electronic designs and how the Celsius solver uniquely addresses them.(read more) Full Article 3D-IC in-design analysis Thermal Integrity Thermal Analysis electronic systems
es BoardSurfers: Managing Design Constraints Efficiently Using Constraint Sets By community.cadence.com Published On :: Wed, 07 Sep 2022 13:44:00 GMT A constraint is a user-defined property, or a rule, applied to a physical object, such as a net, pin, or via in a design. There are a number of constraints that can be applied to an object based on its type and behavior. For example, you can define t...(read more) Full Article PCB 17.4 BoardSurfers PCB Editor Constraint Manager 17.4-2019 PCB design Constraints Allegro PCB Editor Constraint Set Allegro
es Modern Thermal Analysis Overcomes Complex Electronic Design Issues By community.cadence.com Published On :: Tue, 13 Sep 2022 14:53:00 GMT By combining finite element analysis with computational fluid dynamics, designers can perform complete thermal system analysis using a single tool.(read more) Full Article in-design analysis Thermal Analysis electronic cooling
es BoardSurfers: Training Insights: What’s New in the Allegro PCB Editor Basic Techniques Course By community.cadence.com Published On :: Tue, 20 Sep 2022 14:32:00 GMT The Allegro PCB Editor Basic Techniques course provides all the essential training required to start working with Allegro® PCB Editor. The course covers all the design tasks, including padstack and symbol creation, logic import, constraints setup...(read more) Full Article digital badge 17.4 BoardSurfers symbol editor 3D Canvas 17.4-2019 PCB design Training Insights Allegro PCB Editor online training Allegro
es VAR("") does not work within some expressions By community.cadence.com Published On :: Mon, 22 Apr 2024 20:47:33 GMT Hi, My Virtuoso and Spectre Version: ICADVM20.1-64b.NYISR30.2 I have an expression where the EvalType is "sweeps". Here is the expression (I also attached the snapshot): (peakToPeak(leafValue(swapSweep(delay(?wf1 clip((VT("/clk0") - VT("/clk180")) (VAR("mt_stop") - (4.0 / VAR("datarate"))) VAR("mt_stop")) ?value1 0 ?edge1 "rising" ?nth1 1 ?td1 0 ?tol1 nil ?wf2 clip((VT("/tx_padp") - VT("/tx_padn")) (VAR("mt_stop") - (4.0 / VAR("datarate"))) VAR("mt_stop")) ?value2 0 ?edge2 "rising" ?nth2 1 ?tol2 nil ?td2 nil ?stop nil ?multiple nil) "VDD_FIXED_NOISE") "VREGLN_cmode" 0.85 "VREGDRV_novn" 0.4 "datarate" 1.658e+10) ?overall t) / 10.0) What this expression does is that it compares the delay between the output data with respect to a reference clock. I then get this information for two conditions (VDD_FIXED_NOISE = 0 or 10mV) to get the effect of the supply-induced jitter. In the expression, I need to give the value of each parameter in different modes to distinguish them from each other. Now I want to sweep the base supply values and see the supply variation effects. For example, I want to change VREGLN_cmode from 0.85 to 0.81 and see how my supply-induced jitter changes. For that, the hard way is to copy the expression and change that value accordingly (e.g. "VREGLN_cmode" 0.81). I'm looking for an easier way to use a variable in the expression. Something like VAR("VREGLN_Sweep"). But I see it doesn't work in my expression and it gives an eVal error. I tested this before in other expressions (not sweep type) and it always worked. I have only one test and these variables are all Design Variables and not Global variables.I want to know what mistake am I doing here and is there a way to make this work. Sorry that if I could not explain better my inquiry. Thank you. Full Article
es HB: duplicated frequencies in 3-tone simulation By community.cadence.com Published On :: Fri, 09 Aug 2024 11:51:48 GMT I get multiple results at the same frequency in a 3-tone simulation. I try to determine the IP3 of a mixer. I have 3 large signal tones: 0.75 GHz, 1.25 GHz and 1.26 GHz. At the IM3 frequency of 490 MHz I observe 4 results, see also the screenshot of the table output. The frequencies are exactly the same (even when I subtract 490 MHz by using xval() ). Which of the values do I have to use to determine the correct IP3? Is there an option to merge these results? Full Article
es Figures missing in the RF Design Blogs article of "Measuring Fmax for MOS Transistors" By community.cadence.com Published On :: Wed, 30 Oct 2024 16:18:37 GMT Hi I noticed that some figures from the old posts in the cadence blogs have been missing. I think this problem happened before and Andrew Beckett asked the original author to fix the issue: Figures missing in the RF Design Blogs article of "Measuring Fmax for MOS Transistors" Some of these posts are quite valuable, and would be nice to have access to the figures, which are a very important part of some posts, Thanks Leandro Full Article
es Virtuosity: Reliability Analysis Report-Reliable Results Made Interactive By community.cadence.com Published On :: Thu, 09 Jun 2022 07:47:00 GMT Read through this blog to know more about the new Reliability Report view in Virtuoso ADE Assembler and Virtuoso ADE Explorer.(read more) Full Article SQLite Stress Analysis Analog Design Environment ADE Explorer Reliability Report Virtuoso Analog Design Environment Virtuoso Spectre Virtuosity ISR21 Virtuoso Video Diary ICADVM20.1 SQLite Operator aging ISR26 reliability analysis custom reliability data filter Custom IC IC6.1.8 ADE Assembler
es Start Your Engines: AMS Flex – Our Next Generation Architecture Matures By community.cadence.com Published On :: Wed, 06 Jul 2022 05:05:00 GMT An AMS Designer Flex simulation gives you the most immediate access to the latest simulation technology on either side, gets out of the way of the core engines and allows the engine performance to shine while providing access to new features. Check out this blog to know more.(read more) Full Article AMS Designer AMSD Start Your Engines Mixed-Signal AMSD Flex Mode mixed-signal design Cadence Community AMS Flex
es Knowledge Booster Training Bytes - What Is a Parameterized Cell and What Are the Advantages By community.cadence.com Published On :: Wed, 06 Jul 2022 15:31:00 GMT Che(read more) Full Article Relative Object Design PCells Virtuoso Video Diary Custom IC Design Virtuoso Layout Suite SKILL
es Start Your Engines: An Innovative and Efficient Approach to Debug Interface Elements with SimVision MS By community.cadence.com Published On :: Fri, 29 Jul 2022 04:35:00 GMT This blog introduces you to an efficient way to debug interface elements or connect modules in a mixed-signal simulation.(read more) Full Article connect modules mixed signal design interface elements AMS Designer mixed-signal simulation Virtuoso SimVision-MS
es Virtuosity: Custom IC Design Flow/Methodology - Circuit Physical Verification & Parasitic Extraction By community.cadence.com Published On :: Fri, 29 Jul 2022 18:26:00 GMT Read this blog for an overview to the Circuit physical verification and parasitic extraction design stage in the Custom IC Design methodology and the key design steps which can help you achieve this.(read more) Full Article design rule violations Extraction Layout versus schematic Physical Verification System (PVS) Virtuoso Quantus Extraction Solution PVS Custom IC Design parasitics
es Knowledge Booster Training Bytes - Virtuoso Visualization and Analysis XL By community.cadence.com Published On :: Wed, 10 Aug 2022 07:13:00 GMT This blog describes how to efficiently use Virtuoso Visualization and Analysis XL.(read more) Full Article blended blended training ADE Explorer Virtuoso Visualization and Analysis XL learning training knowledge resource kit Cadence training digital badges training bytes Virtuoso Cadence certified Virtuoso Video Diary Cadence Learning and Support portal Custom IC Design online training Custom IC ADE Assembler
es Virtuosity: Synergize with CLE - Work Concurrently Across Geographies By community.cadence.com Published On :: Mon, 29 Aug 2022 12:24:00 GMT Concurrent Layout Editing enables more than one designer to work in a hierarchy at the same time. Check out this blog to know more. (read more) Full Article concurrent layout editing Virtuoso Virtuosity CLE ICADVM20.1 Synergize with CLE
es Virtuosity: Driving Super-efficient Chip Design with Voltus-XFi Custom Power Integrity Solution By community.cadence.com Published On :: Tue, 30 Aug 2022 13:39:00 GMT This blog introduces the new Voltus-XFi Custom Power Integrity Solution, a transistor-level EM-IR tool that enables designers to complete comprehensive analysis and debugging easily and quickly.(read more) Full Article Voltus-XFi EMIR Analysis EMIR Simulation EMIR Extraction Virtuoso Analog Design Environment Custom IC Design
es Knowledge Booster Training Bytes - Virtuoso Pin-To-Trunk Routing By community.cadence.com Published On :: Wed, 28 Sep 2022 08:40:00 GMT This blog helps in demonstrating the use of Pin to trunk routing style which helps in enhancing the layout experience.(read more) Full Article custom/analog Virtuoso Space-based Router VSR cadence Routing Automated Device-Level Placement and Routing Rapid Adoption Kit analog training Layout Suite Cadence training digital badges Layout Virtuoso cadenceblogs ICADVM20.1 Cadence Education Services Custom IC Design online training RAKs Virtuoso Layout Suite Custom IC IC6.1.8 Virtuoso Layout Suite XL
es Test point creation workflow recommendations? By community.cadence.com Published On :: Mon, 28 Oct 2024 12:08:17 GMT I am trying to figure out the most efficient workflow for adding test points. My use case involves adding ~100 or so SMT pads at the bottom for bed-of-nails ICT test that are required to be on a test point grid. A lot of the nets are on the top or from inner layers and so have to be brought to the bottom using stubs. I'm used to Xpediiton workflow of being able to set a test point padstack, set a test point grid, and then select a net, add the test point to the bottom layer on the grid with that net attached and then route the stub with gridless routing. In Orcad, it seems I need to route the stub, switch layer pairs to be both bottom once I bring the stub to the bottom and then change the grid to be the test point grid and then add the test point on the grid. It requires a lot of clicks, very mistake prone requiring lots of oops and very slow for 100+ test points to be brought out at the bottom. I'm sure there is a better way that is used by folks with a lot of Orcad experience. Any suggestions? Full Article
es spreading clines By community.cadence.com Published On :: Thu, 31 Oct 2024 05:42:10 GMT hello. i have asked this question a few years back but no good answer so i ask again. i would like to spread clines with equal spacing. i do know how to spread clines between vias. but i would like to simply spread clines between two clines (not between two vias). for instance, there are 4 parallel clines but the inner 3 spaces are not equal so i would like to move the inner 2 clines to make the 3 spaces equal. regards masa Full Article
es Test Your Know How : Allegro in Design Analysis By community.cadence.com Published On :: Thu, 31 Oct 2024 16:05:38 GMT Which Analysis is Being Performed by Allegro in this Image? A. Impedance B. Coupling C. Crosstalk D. Return Path E. Reflection Simply answer by letter or include any reason to support your answer... Full Article
es Loading Footprints keep getting DB Doctor message By community.cadence.com Published On :: Wed, 06 Nov 2024 16:40:03 GMT Loading new netlist into 23.1 Apparently it does not like many of the specified footprints or padstacks. I have to open the footprint in 231., save the pad stack then save the footprint. This is very time consuming and frustrating to say the least. I also get the following message WARNING(SPMHNI-194): Symbol 'SMD_SOD123_ANODE_PIN1' used by RefDes D30 for device 'DIODE_0_SMD_SOD123_ANODE_PIN1_1N4148W-7-F' not found. The symbol either does not exist in the library path (PSMPATH) or is an old symbol from a previous release. Set the correct library path if not set or use dbdo The current version of software is unable to open design smd_sod123_anode_pin1. The design was last saved using version 16.5 and must be updated using DB Doctor. [help]Going to DB Doctor does nothing, no option to update a footprint?Tom Full Article
es how can load the Dll files and use it in Allegro 16.6 By community.cadence.com Published On :: Thu, 07 Nov 2024 05:52:05 GMT Hello everyone! Have you ever used the axlDllOpen function for Allegro 16.6? It doesn't work for me. Please give me your solution.Thank you. HoangKhoi Full Article
es How to perform the reflection and crosstalk using the OrCAD X Professional By community.cadence.com Published On :: Sun, 10 Nov 2024 14:39:08 GMT Dear Community, I have created a PCB layout with multiple high-speed nets, I want to check the SI like how signals are reflected and taken to each other. I have the OrCAD X Professional, how to check the reflection and crosstalk using the OrCAD X Professional software version 24.1. I want to create a topology flow to the PCB layout and perform the reflection and crosstalk. Regards, Rohit Rohan Full Article
es How to store the workspace designs and projects in local directory By community.cadence.com Published On :: Sun, 10 Nov 2024 14:54:48 GMT Dear Community, In OrCAD X Profession, the workspace feature enables the users to store the libraries (Schematic Symbol, Footprint and PSpice Models) and Designs (Schematic and PCB layout) in the cloud workspace. But storing these libraries and design are stored in servers in the USA, Europe, Asia and Japan Servers. I don't want to store my designs in any of these servers instead I want to create the workspace in my local PC and store all my libraries and designs in the local workspace. Is this possible, if possible then can anyone provide the steps/procedure or videos of how to do it? Regards, Rohit Rohan Full Article
es How to resolve the impedance issue using the OrCAD X Professional By community.cadence.com Published On :: Sun, 10 Nov 2024 14:59:59 GMT Dear Community, I have created a PCB board and let's say I have found some parts of the PCB board where there are impedance issues, then how to resolve that impedance issue using the OrCAD X Professional. Regards, Rohit Rohan Full Article
es What is difference between the Cadence OrCAD / Allegro 24.1 with the Altium Designer 24 By community.cadence.com Published On :: Sun, 10 Nov 2024 15:07:37 GMT Hai Community, What are the differences between the Cadence OrCAD / Allegro 24.1 with the Altium Designer 24. Can I get the grid matrix difference between these two tools? Regards, Rohit Rohan Full Article
es Optimizing PCB design for thermal performance By community.cadence.com Published On :: Mon, 11 Nov 2024 08:53:57 GMT Optimizing PCB thermal performance is essential in today’s high-density designs, as it ensures stability, prolongs component life, and prevents potential thermal issues. One of the first steps to achieving this is with strategic component placement. Positioning high-power components—such as regulators, power transistors, or processors—away from heat-sensitive parts can prevent thermal interference, and placing them near the edges of the PCB often helps dissipate heat more effectively. It’s also beneficial to group components by their heat generation, creating dedicated thermal zones that can manage localized heating and reduce impact on other areas of the board. Using thermal vias is another effective technique. By placing thermal vias under components like BGAs or power ICs, heat can be transferred from the surface to internal layers or ground planes. Increasing the size and number of these vias, or using thicker plating, enhances heat conductivity and helps manage heat more evenly across layers in multilayer boards. Increasing copper thickness on the PCB also has a major impact. Opting for thicker copper layers (e.g., 2 oz or even 3 oz copper) significantly boosts the heat dissipation capabilities of power planes and traces, especially in high-current areas. Large copper planes, such as dedicated ground or power planes, are equally effective in spreading heat efficiently. Adding thermal pads directly beneath heat-generating components improves this heat distribution. Thermal relief pads help regulate heat flow for through-hole components by controlling heat transfer, which reduces thermal stress during soldering and prevents excessive heat spread to nearby sensitive areas. Performing thermal analysis with software tools like Celsius can be invaluable, as it allows you to simulate and model heat distribution, spot potential thermal issues, and refine your design before finalizing it. Using heat sinks and thermal pads provides a direct way to draw heat from high-power components. Heat sinks can be attached with thermal adhesives, screws, or clamps, while thermal interface materials (TIMs), such as thermal pads or conductive adhesives, further reduce thermal resistance, enhancing heat-transfer efficiency. Optimizing the PCB layer stackup is also a key factor. Dedicated ground and power layers improve heat conduction across the PCB, enabling heat transfer between layers, particularly in high-density and multilayer PCBs. In designs with high power requirements, active cooling options like fans, blowers, or heat pipes can be essential, helping to direct airflow across the PCB and further improving heat dissipation. Adding ventilation slots around hot zones and considering passive cooling paths enhance natural airflow, making the design more thermally efficient. By combining several of these techniques, you can create a PCB that handles heat effectively, resulting in a robust, long-lasting, and reliable product. Let us know if you’ve had any challenges with thermal management in your designs—I’d be glad to discuss further! Full Article
es Socionext Accelerates SoC Design Breakthroughs with Cadence Signoff Tools By community.cadence.com Published On :: Thu, 27 Jun 2024 18:16:00 GMT Socionext, a leader in SoC design, recently made significant strides in enhancing its design efficiency for a complex billion-gate project. Faced with the initial challenges of lengthy eight-day iterations and a protracted two-month timing signoff process, the objective was to reduce the iteration cycle to just three days. By integrating Cadence's cutting-edge solutions—Certus Closure Solution, Tempus Timing Solution, and Quantus Extraction Solution—Socionext achieved remarkable improvements. Notably, the Tempus DSTA tool dramatically cut timing closure time by 73%, outperforming conventional single-machine STA methods. This achievement, combined with the synergistic use of Cadence's Certus Closure and Tempus Timing solutions, allowed Socionext to meet their ambitious three-day iteration target and double productivity. Additionally, integrating these solutions significantly decreased both human and machine resource needs, slashing memory and disk costs by up to 90% and halving engineering resources during the optimization and signoff phases. For more on this collaboration, check out the "Designed with Cadence" success story video on Cadence's website and YouTube channel. Also, don't miss the on-demand webinar "Fast, Accurate STA for Large-Scale Design Challenges," which provides a deeper dive into Socionext's breakthroughs and the innovative solutions that powered their success. Full Article digital design Tempus designed with cadence certus Quantus silicon signoff
es Overcoming Mixed-Signal Design Challenges with Virtuoso Digital Implementation By community.cadence.com Published On :: Fri, 19 Jul 2024 22:44:00 GMT The world of electronics design thrives on efficient tools that bridge the gap between concept and silicon. Virtuoso Digital Implementation is a powerful ally for mixed-signal designs, which integrate both analog and digital components. This blog post will examine Virtuoso Digital Implementation's capabilities and explore how it can streamline your mixed-signal design workflow. Virtuoso Digital Implementation in a Nutshell Virtuoso Digital Implementation is a license package within the Cadence Virtuoso Design Platform. It offers a streamlined RTL-to-GDSII flow to implement smaller digital blocks within a mixed-signal design environment. Here's what makes Virtuoso Digital Implementation stand out: Focus on Small Digital Blocks: Optimized for digital blocks with an instance count of up to 50,000 (expandable to 150,000 with specific configurations), Virtuoso Digital Implementation is ideal for integrating digital logic into your analog-centric design. Leveraging Industry Leaders: Virtuoso Digital Implementation utilizes cut-down versions of the renowned Cadence Genus Synthesis Solution and Innovus Implementation System under the hood. This ensures you get access to proven technologies for logic optimization and place-and-route. Seamless Integration with the Virtuoso Environment: Virtuoso Digital Implementation's key advantage is its tight integration with the Virtuoso Layout Suite. You can launch the synthesis and place-and-route tools directly from the Virtuoso environment, eliminating the need to switch between platforms. Benefits of Using Virtuoso Digital Implementation By incorporating Virtuoso Digital Implementation into your mixed-signal design flow, you can get several benefits: Simplified Workflow: Virtuoso Digital Implementation offers a centralized environment for both digital block implementation and layout editing within the Virtuoso environment. This reduces context switching and streamlines the design process. Faster Time-to-Market: Virtuoso Digital Implementation's streamlined workflow can significantly reduce design turnaround times, allowing you to get your product to market quicker. Improved Design Quality: Leveraging industry-leading synthesis and place-and-route engines from Cadence ensures high-quality digital block implementation within your mixed-signal design. Who Should Consider Virtuoso Digital Implementation? Virtuoso Digital Implementation is a valuable tool for anyone working on mixed-signal designs with smaller digital blocks. It's particularly well-suited for: Analog IC designers who need to integrate digital logic into their designs. Circuit design teams working on mixed-signal applications like data converters, power management ICs, and RF transceivers. Virtuoso Digital Implementation provides a compelling solution for designers working on mixed-signal projects. Its streamlined workflow, tight integration with the Virtuoso design platform, and access to proven digital design tools can significantly improve design efficiency and time-to-market. Virtuoso Digital Implementation is worth considering if you're looking to optimize your mixed-signal design flow. I am here to help and guide you on how to learn more about Virtuoso Digital Implementation flow. Welcome to Virtuoso Digital Implementation, an online course recently released. This course teaches implementing digital blocks using Cadence tools based on the Virtuoso Digital Implementation flow. Also, you can download a lab database after the lecture and get hands-on experience in each stage. Want to Enroll in this Course? We organize this Virtuoso Digital Implementation training for you as a "Blended" or "Live" training. Please reach out to Cadence Training for further information. Register for the Online Training with the following steps: Log on to cadence.com with your registered Cadence ID and password. Select Learning from the menu > Online Courses. Search for Virtuoso Digital Implementation using the search bar. Select the course and click Enroll. And don't forget to obtain your Digital Badge after completing the training! Related Resources Online Courses Cadence RTL-to-GDSII Flow v6.0 Virtuoso Digital Implementation Training Training Byte Videos How Do You Run Placement Optimization in the Innovus Implementation System? How to Run the Synthesis Without DFT? How to Run the Synthesis Flow with DFT? Creating Power Rings, Power Stripes, and Power Rails in the Innovus Implementation System How to Run Power Analysis and Analyze the Results in Innovus? Happy Learning! Full Article Virtuoso Schematic Editor Low Power Silicon Signoff and Verification Virtuoso Digital Implementation RTL-to-GDSII Cadence training Virtuoso symbol Virtuoso Layout Suite Mixed Signal Designers
es Training Bytes: Explore Cadence DFT Synthesis Flow with Bytes By community.cadence.com Published On :: Wed, 24 Jul 2024 19:53:00 GMT Training Bytes are not just short technical videos; they are particularly designed to provide comprehensive support in understanding and learning various concepts and methodologies. These comprehensive yet small Training Bytes can be created to show various concepts and processes in a shorter pane of five to ten minutes, for example, running DFT synthesis, scanning insertion, inserting advanced testability features, test point insertion, debugging DFT violations, etc. In this blog, we will show you the DFT Synthesis Flow with Cadence's Genus Synthesis Solution using small Training Bytes available on the Cadence Learning and Support Portal. To explore these training bytes more, log on to support.cadence.com and select the learning section to choose the training videos, as shown below. DFT Synthesis Flow with Genus Synthesis Solution First, we will understand the Synthesis Flow with DFT in the Genus Synthesis Solution: Understanding a Script File that Used to Run the Synthesis Flow With DFT Here, we will show you "How to run the Test Synthesis Flow to Insert Scan Chains and Improve the Testability of a Design" in the Genus Synthesis Solution: Running Test Synthesis Flow to Insert Scan Chains And Improve the Testability of a Design in the Genus Synthesis Solution Let's check the flops marked with the dft_mapped attribute for scan mapping in Genus Synthesis Solution: How to Check Flops Marked With dft_mapped Attribute For Scan Mapping in Genus Synthesis Solution? How to Find Non-Scan Flops of a Design in Genus? (Video) Once the flops are mapped to scan flip flops and the scan chain inserted, we will see how to handle the flops marked with the dft_dont_scan attribute for scan mapping in Genus Synthesis Solution. How to Handle the Flops Marked With the dft_dont_scan Attribute For Scan Mapping in Genus Synthesis Solution? Here, we will see how to fix DFT Violations using the command fix_dft_violations: Fixing DFT Violations (Video) Once the design has been synthesized, let's explore the DFT design hierarchy in Genus Stylus CUI: Exploring DFT Design Hierarchy in Genus Stylus CUI (Video) Understand why sequential elements are not mapped to a scan flop: Why Are Sequential Elements Not Mapped to a Scan Flop? Explore hierarchical scan synthesis in Genus Stylus Common UI: Understanding Hierarchical Scan Synthesis in Genus Stylus Common UI. (Video) To understand how to resolve different warnings and errors (for example, DFT-415, DFT-512, DFT-304, etc.) in Genus Synthesis Solution, here are some videos you can refer to: How to Resolve Warning: DFT-415 (Video) How to Resolve Error: DFT-407 (Video) How to Resolve Error: DFT-404 (Video) DFT-510 Warning During Mapping (Video) How to Resolve Warning: DFT-512 (Video) How to Resolve Warning: DFT-511 (Video) How to Resolve Warning: DFT-304 (Video) How to Resolve Warning: DFT-302 (Video) How to Resolve Error: DFT-515 (Video) How to Resolve Error: DFT-500 (Video) Here, we will see how we can generate SDC constraints for DFT constructs for many scan insertion techniques, such as FULLSCAN, OPCG, Boundary Scan, PMBIST, XOR Compression, SmartScan Compression, LBIST, and IEEE 1500: How to Generate SDC Constraints for DFT Constructs in Genus Synthesis Solution? (Video) Explore advanced testability features that can be inserted in Genus Synthesis Solution, such as Boundary Scan, Programmable Memory built-in Self-Test Logic (PMBIST), Compression Logic, Masking, and On-Product Clock Generation Logic (OPCG): Advanced Testability Features (Video) To understand What the IEEE 1500 Wrapper and its Insertion Flow in Genus Synthesis Solution, follow the bytes: What Is IEEE 1500 Wrapper? (Video) IEEE 1500 Wrapper Insertion Flow in Genus Synthesis Solution (Video) Understand the On-product Clock Generation (OPCG) insertion flow in Genus Synthesis Solution Stylus CUI with this byte: Understanding On Product Clock Generator (OPCG) Insertion in Genus Stylus CUI (Video) To debug DFT violations, you can use DFT Analyzer from Genus GUI and explore its features here: Debugging Using GUI: DFT Analyzer (Video) Exploring DFT Analyzer View of Genus Synthesis Solution GUI (Video) To understand What is Shadow Logic, How to Insert Test Points, How to do Testability Analysis Using LBIST, and How to Deterministic Fault Analysis in Genus, follow this article: What is Shadow Logic To insert the Boundary Scan Logic in and control Boundary Optimization in Genus Synthesis Solution, refer to these small bytes: How to Insert Boundary Scan Logic in Genus? Video) Controlling Boundary Optimization in Genus Synthesis Solution Stylus CUI (Video) Compression techniques are used during scan insertion to reduce the test data volume and test application time (TAT) while retaining the test coverage. To understand what compression and the compression techniques are, watch this article: What is Compression Technique During Scan Insertion? (Video) Interested to know what "Unified Compression" is? To get the concept, you can watch this small demo: What Is Unified Compression? (Video) To Explore More, Register for Online Training Log on to Cadence.com with your registered Cadence ID and password. Select Learning from the menu > Online Courses. Search for "Test Synthesis with Genus Stylus Common UI" using the search bar. Select the course and click "Enroll." Full Article DFT Modus DFT IEEE 1500 Genus Synthesis Solution
es Is Design Power Estimation Lowering Your Power? Delegate and Relax! By community.cadence.com Published On :: Wed, 21 Aug 2024 23:00:00 GMT The traditional methods of power analysis lag by various shortcomings and challenges: Getting an accurate measure of RTL power consumption during design exploration Getting consistent power through the design progress from RTL to P&R. System-level verification tools are disconnected from the implementation tools that translate RTL to gates and wires. The Cadence Joules RTL Power Solution closes this gap by delivering time-based RTL power analysis with system-level runtimes, capacity, and high-quality estimates of gates and wires based on production implementation technology. The Cadence Joules RTL Power Solution is an RTL power analysis tool that provides a unified engine to compute gate netlist power and estimate RTL power. The Joules solution delivers 20X faster time-based RTL power analysis and can analyze multi-million instance designs overnight, with impressive accuracy within 15% of signoff power. Moreover, it integrates seamlessly with numerous Cadence platforms, eliminating compatibility and correlation issues! In addition, the Joules RTL Power Solution GUI (Graphical User Interface) helps you analyze/debug the power estimation/results using several GUI capabilities. Want to take a tour of this power estimation world? Gear up to attend the training class created just for you to dive deep into the entire flow and explore this exciting power estimation method/flow with hands-on labs in two days! Training In the Joules Power Calculator Training course, you will identify solutions and features for RTL power using Cadence Joules RTL Power Solution. You will set up and run the RTL power flow with Joules RTL Power Solution and identify Joules's Graphical User Interface (GUI) capabilities. The training also explores how you can estimate power using vectorless power, stimulus flow, RTL Stim to Gate flow, and replay flow, and also interfaces Joules with Cadence's Palladium Emulation Platform. You will estimate power at the chip level and understand how to navigate the design and data mining using Joules. The training also covers power exploration features and how to analyze ideal power and ODC-driven sequential clock gating. You will identify low-activity registers at the clock gate. You will also identify techniques to analyze power, generate various reports, and analyze results through Joules GUI. The training covers multiple strategies to debug low stimulus annotation and how you can better correlate RTL power with signoff. You also identify Genus-Joules Integration. In addition, we ensure that your learning journey is smooth with hands-on labs covering various design scenarios. Lab Videos To start you on your exciting journey as an RTL power analysis expert, we have created a series of short channel lab videos on our Customer Support site: Lab Demo: Setting Up and Running Basic RTL Power Flow in Joules RTL Power Solution (Video). You can refer to each lab module's instructions in demo format. This will help accelerate your tool ramp-up and help you perform the lab steps more quickly if you are stuck. You might be a beginner in the RTL power analysis world, but we can help you sail through it smoothly. What's Next? Grab your badge after finishing the training and flaunt your expertise! Related Training Genus Low-Power Synthesis Flow with IEEE 1801 Low-Power Synthesis Flow with Genus Stylus Common UI Related Blogs Do You Want to Flaunt Your Expertise? Grab the Digital Badge Today! Become Cadence Certified Let's Replay the Process of Power Estimation with the Power of 'x' Let's Discover the Secret to Enhance Design's PPAC in a Single Cockpit What's Inside Joules Graphical User Interface Joules – Power Exploration Capabilities Full Article digital badge estimation annotation Joules Analysis training bytes RTL Solution power online training Online Support Joules RTL Design Studio
es Conformal ECO Designer By community.cadence.com Published On :: Mon, 16 Sep 2024 05:21:00 GMT Conformal ECO Designer enables you to implement RTL engineering change orders (ECOs) for pre- and post-mask layout and offers early ECO prototyping capabilities for driving critical project decisions. Conformal ECO compares two designs and generates a functional patch that implements the changes between the two designs. One major criterion for determining patch quality is whether the patch can meet timing closure. To determine this, you typically need to run the time-consuming process of incremental synthesis and place-and-route. Instead, Conformal can analyze path logic depth changes before and after ECO patch generation. This provides a faster way to evaluate timing impact in patch generation stages. After the patch is created and applied, it is passed to Genus to optimize the patch. During patch optimization, you can choose to do many things like: Keeping constants in the patch Allowing tie cell inversion Specifying tie cell types Preserve DFF cells and cell types in the patch Preserve all cells and nets in the patch Preserve clock buffer cell in the patch Turn on/off sequential constant and sequential merge in patch optimization Allowing phase mapping for DFFs Map to spare cells Force fix DRC before timing What's Next? Join the Conformal ECO course to: Explore the many options and capabilities of Conformal ECO Use Conformal Engineering Change Order (ECO) for flat and hierarchical designs Generate a functional ECO patch, apply it to a design, optimize it, and map it to a specified technology Run a hierarchical design through ECO and run a comparison to prove the ECO is equivalent Run a postmask ECO using Conformal ECO GXL Make sure you have experience with Conformal Equivalence Checker or completed the Conformal Equivalence Checking course before taking this course. The online class is free for all Cadence customers with a Cadence Learning and Support Portal account. If you don’t have a Cadence Support account, go to Registration Help or Register Now and complete the requested information. For instructor-led training sessions "Live" or "Blended" please contact Cadence Training. Please don't forget to obtain your Digital Badge after completing the training. Add your free digital badge to your email signature or any social media and networking platform to show your qualities and build trust, making you and your projects even more successful. Full Article Conformal ECO Designer conformal RTL design
es The Best Way to Learn – Cadence Cerebrus AI-Driven Design Implementation By community.cadence.com Published On :: Tue, 17 Sep 2024 04:49:00 GMT The Cadence Cerebrus Intelligent Chip Explorer is a revolutionary, machine learning-driven, automated approach to chip design flow optimization. Block engineers specify the design goals, and Cadence Cerebrus will intelligently optimize the Cadence digital full flow to meet the power, performance, and area (PPA) goals in a completely automated way. Use Cerebrus Apps to optimize some aspects of the design as well. Running a full RTL to GDSII flow, Cadence Cerebrus has a lot of possibilities and combinations of different tool settings to explore. Using the knowledge from previous runs, combined with on-the-fly analysis within the flow, Cadence Cerebrus can assess many settings combinations and fine-tune the flow accordingly in a very efficient manner. As technology advances, projects become bigger and way more complex than before. The ability of a single engineer to run simultaneously a large number of blocks in a traditional way is limited. Cadence Cerebrus allows a single engineer to work more efficiently and implement more blocks, while maintaining the same or even better PPA, using compute power. Being such a revolutionary tool, integrating Cerebrus into your existing flow is surprisingly simple as it can wrap around any existing flow scripts. Please join me in this course, to learn about the features and basics of Cadence Cerebrus Intelligent Chip Explorer. We’ll walk through the tool setting stage, explain what is a primitive and how it effects our run, talk about the cost function and the run goals. We’ll understand the concept of scenarios, learn how to analyze the results of the different runs, and compare them. In addition, we’ll talk about basic debug rules and methods to analyze failures. Sounds Interesting? Please join our “live” one-day Cadence Cerebrus Intelligent Chip Explorer Training @Cadence Feldkirchen planned for October 9th, 2024! For more details and registration, please contact Training Germany. If you would like to have an instructor-led training session in another region please contact your local training department. Become Cadence Certified Cadence Training Services offers a digital badge for this training course. This badge indicates proficiency in a certain technology or skill and gives you a way to validate your expertise to managers and potential employers. You can highlight your expertise by adding this digital badge to your email signature or any social media platform, such as Facebook or LinkedIn. Related Training Innovus Block Implementation with Stylus Common UI Related Training Bytes Cerebrus Primitives (Video) How to Reuse Cerebrus (Video) Cerebrus - Verifying Distribution Script (Video) How to distribute Cerebrus Scenarios (Video) Cerebrus Web Interface Monitor and Control (Video) How to Setup Cerebrus for a Successful Run (Video) Flow Wrapping: The Cadence Cerebrus Intelligent Chip Explorer Must Have (Webinar) (Video) Cerebrus Cost Functions (Video) Related Blogs Training Insights: Cadence Cerebrus Webinar Recording Now Available! Keep Up with the Revolution—Cadence Cerebrus Training New to Equivalence Checking? Restart from the Basic Concepts Training Insights - Free Online Courses on Cadence Learning and Support Portal Training Insights – Important Facts You Should know About Our Cadence Learning and Support Portal Full Article digital badge live training cerebrus Cadence training cadence learning and support
es Island Economies of the Future 2019/20 – the results By master-7rqtwti-2nwxk3tn3ebiq.eu-2.platformsh.site Published On :: Mon, 10 Jun 2019 08:26:23 +0100 Cyprus is ranked first in fDi’s Island Economies of the Future rankings, followed by the Dominican Republic and Sri Lanka. Cathy Mullan and Naomi Davies detail the results. Full Article
es American Cities of the Future 2019/20 - the winners By master-7rqtwti-2nwxk3tn3ebiq.eu-2.platformsh.site Published On :: Mon, 10 Jun 2019 08:24:30 +0100 New York continues to reign as leader of fDi’s American Cities of the Future 2019/20 ranking. San Francisco retains second place with Toronto rising to third. Naomi Davies reports. Full Article
es American Cities of the Future 2019/20 – FDI strategy By master-7rqtwti-2nwxk3tn3ebiq.eu-2.platformsh.site Published On :: Mon, 10 Jun 2019 08:25:52 +0100 A more detailed look at fDi's judges’ top five American Cities of the Future 2019/20 for FDI strategy. Naomi Davies reports. Full Article
es Asia-Pacific Cities of the Future 2019/20 – the winners By master-7rqtwti-2nwxk3tn3ebiq.eu-2.platformsh.site Published On :: Thu, 15 Aug 2019 12:00:47 +0100 Singapore has retained its place at the top of fDi's Asia-Pacific Cities of the Future ranking, with Shanghai and Tokyo completing the top three list. Full Article