all School Teacher Arrested For Sexually Harassing Students In Tamil Nadu By www.ndtv.com Published On :: Mon, 11 Nov 2024 23:20:24 +0530 The Thoothukudi police in Tamil Nadu have arrested a physical education teacher at a private school for allegedly harassing girl students sexually and forcing them to take liquor. Full Article
all Student Found Hanging In Telangana Hostel, Family Alleges Foul Play By www.ndtv.com Published On :: Tue, 12 Nov 2024 07:57:42 +0530 A 17-year-old girl student of Rajiv Gandhi University of Knowledge Technologies (RGUKT) in Nirmal district of Telangana allegedly died by suicide on Monday, police said. Full Article
all "Ajab Prem Ki...": KTR Alleges A BJP-Congress "Love Affair" In Telangana By www.ndtv.com Published On :: Tue, 12 Nov 2024 13:24:42 +0530 The Congress and BJP fight against each other across the country, but have a "strange love story" in Telangana, former state minister and Bharat Rashtra Samithi (BRS) leader KT Rama Rao told NDTV today Full Article
all SAS Customer Intelligence 360: Make better decisions with analytically driven marketing By blogs.sas.com Published On :: Wed, 30 Sep 2020 13:00:12 +0000 According to the SAS Experience 2030 global study, by the year 2030 67% of in-person customer engagements (think sales assistance and information queries) will be completed by smart machines rather than humans. And while it may seem a bit ironic, the most personalized customer experiences could involve no people at [...] SAS Customer Intelligence 360: Make better decisions with analytically driven marketing was published on Customer Intelligence Blog. Full Article Uncategorized Marketing Attribution marketing planning marketing resource management multivariate testing SAS Customer Intelligence 360
all Marshall Woburn III Review By www.digit.in Published On :: 2023-09-22T13:09:00+05:30 Read the in depth Review of Marshall Woburn III Audio Video. Know detailed info about Marshall Woburn III configuration, design and performance quality along with pros & cons, Digit rating, verdict based on user opinions/feedback. Full Article Audio Video
all How to install Windows 11 on your PC / Laptop By www.digit.in Published On :: 2023-01-11T06:41+05:30 Full Article videoDefault
all Suspended Teacher Jailed For Sexually Harassing Students In Chennai School By www.ndtv.com Published On :: Wed, 13 Nov 2024 12:52:59 +0530 A suspended teacher from the PSBB (Padma Seshadri Bala Bhavan) School in Chennai has been sentenced to two years of rigorous imprisonment for sexually harassing female students. Full Article
all Hezbollah's Hassan Nasrallah: The Most Powerful Man In Lebanon By www.ndtv.com Published On :: Thu, 19 Sep 2024 22:33:31 +0530 Backed by Iran and hated by Israel, Hezbollah chief Hassan Nasrallah is Lebanon's most powerful man. He enjoys cult status among his Shiite supporters and holds sway over the country's institutions. Full Article
all "We Talked About...": Sundar Pichai Recalls Last Meeting With Ratan Tata By www.ndtv.com Published On :: Thu, 10 Oct 2024 07:52:42 +0530 Ratan Tata, born on December 28, 1937, is the Chairman of Ratan Tata Trust, two of the largest private-sector-promoted philanthropic trusts in India. Full Article
all All About Kamala Harris: Life, Family, Wealth And Her Impact On US Politics By www.ndtv.com Published On :: Sat, 02 Nov 2024 22:43:27 +0530 Kamala Devi Harris was born on October 20, 1964, in Oakland, California, to immigrant parents. Full Article
all The Changing Face Of The Oval Office - All The US Presidents Since 1900 By www.ndtv.com Published On :: Sun, 03 Nov 2024 00:00:29 +0530 A look at how American leadership has evolved through major historical events and societal changes over the past century Full Article
all Meet Anita Verma-Lallian, Indian-Origin Woman Who Bought Matthew Perry's Home By www.ndtv.com Published On :: Fri, 08 Nov 2024 09:51:32 +0530 An Indian-origin real estate developer and film producer has purchased 'Friends' star Matthew Perry's Los Angeles home. Full Article
all Ricky Ponting hits back at Gautam Gambhir, calls him 'prickly character' - The Times of India By news.google.com Published On :: Wed, 13 Nov 2024 02:11:00 GMT Ricky Ponting hits back at Gautam Gambhir, calls him 'prickly character' The Times of IndiaRicky Ponting Fires Back At Gautam Gambhir After India Coach's Press Conference Remarks NDTV SportsA fired-up Virat Kohli is Australia's worry after Ricky Ponting's 'bad move' The Times of IndiaGambhir a prickly character, never took dig at Kohli: Ponting The HinduBorder-Gavaskar Trophy: Why Gautam Gambhir comes across as abrasive at times The Indian Express Full Article
all Telangana train accident: Goods train derails near Peddapalli. Check full list of cancelled, diverted trains today | Today News - Mint By news.google.com Published On :: Wed, 13 Nov 2024 02:52:43 GMT Telangana train accident: Goods train derails near Peddapalli. Check full list of cancelled, diverted trains today | Today News MintGoods train derails in Telangana's Peddapalli; 20 trains cancelled, 10 diverted The Economic Times11 coaches of goods train derail in Telangana The Times of IndiaGoods train derailment in Telangana affects rail traffic between Delhi and Chennai Telangana TodayGoods train derails in Telangana's Peddapalli; 30 trains cancelled, several diverted The Hindu Full Article
all Chennai rain: IMD predicts heavy rainfall for Tamil Nadu. Are schools, colleges closed today? - Hindustan Times By news.google.com Published On :: Wed, 13 Nov 2024 04:03:43 GMT Chennai rain: IMD predicts heavy rainfall for Tamil Nadu. Are schools, colleges closed today? Hindustan TimesChennai rains today: Heavy downpour expected in many Tamil Nadu districts, IMD issues alert. Schools shut today? MintLow pressure weakens, but heavy rain in Tamil Nadu may persist The New Indian ExpressTamil Nadu weather: Schools shut in Chennai, IMD puts 17 districts in state on heavy rainfall alert Business TodayTamil Nadu rains: Schools, colleges closed in several districts amid heavy rain The Hindu Full Article
all Watch: This Alligator Named Darth Gator Only Emerges To His 'Theme Song' By www.ndtv.com Published On :: Sat, 09 Nov 2024 22:16:47 +0530 Shared by Gator Boys star Paul Bedard, the viral video shows the alligator emerging from his den to the tune of the iconic song. Full Article
all "No Talking...": Employee Shares Strict Workplace Rules, Calls It A "Jail" By www.ndtv.com Published On :: Tue, 12 Nov 2024 18:05:35 +0530 The post details a highly restrictive environment where employees are forbidden from basic actions like looking away from their screens or using their phones. Full Article
all Children's Day 2024: Why It's Called 'Bal Diwas'? By www.ndtv.com Published On :: Wed, 13 Nov 2024 11:31:13 +0530 By celebrating Children's Day as Bal Diwas, India reinforced the cultural and emotional significance of the day, making it a uniquely Indian celebration rooted in national pride and values. Full Article
all Brace For Impact! Maruti Will Increase Price Of Almost All Cars By This Date: Check Full Details By trak.in Published On :: Mon, 05 Dec 2022 05:26:35 +0000 India’s largest carmaker Maruti Suzuki India Limited (MSIL) has announced that it will hike the prices of its models from January 2023. It said the increase will vary for different models. Why? In a statement the automaker explained its struggles and the reason behind the hikes. “The Company continues to witness increased cost pressure driven […] Full Article Auto benefits Celerio Discounts DZire maruti suzuki price hikes Swift
all All Real-Money Based Online Games In India Can Be Regulated, Monitored & Governed By Govt By trak.in Published On :: Mon, 05 Dec 2022 05:34:48 +0000 A new statement by the government and three sources have revealed that the proposal to regulate only the games of skill has been overruled. According to a government document and three sources, India’s proposed regulation of internet gambling would cover all real-money games after the prime minister’s office rejected a proposal to merely regulate games […] Full Article Business government rules real money making games
all Family Members Of Foreign Workers In Canada Now Allowed To Work: Spouses, Working-Age Children Will Get Work Permits! By trak.in Published On :: Tue, 06 Dec 2022 07:23:58 +0000 After its decision to strengthen visa infrastructure in Delhi and Chandigarh, Canada has now announced that family members of temporary international workers will also be allowed to work in the country. Sean Fraser, Canada’s Minister of Immigration, Refugees, and Citizenship, recently informed the media that his agency will be granting work permits to relatives of […] Full Article Business canada work permit
all Former Company Director to Appear in Court for Allegedly Defrauding a Pensioner By allafrica.com Published On :: Tue, 12 Nov 2024 10:30:43 GMT [SAPS] - A former company Director (57) is expected to appear in the Thabamoopo Magistrates Court in Lebowakgomo on 11 November 2024 for allegedly defrauding a pensioner an amount of R378 000.00 in the name of business. Full Article Legal and Judicial Affairs South Africa Southern Africa
all 11 Vehicle Testing Station Officials and Car Owners Arrested for Alleged Fraud By allafrica.com Published On :: Tue, 12 Nov 2024 10:30:51 GMT [SAPS] - Polokwane based Hawks Serious Commercial Crime Investigation in collaboration with National Traffic Anti-corruption Unit arrested 11 suspects between the ages of 27 and 57 for alleged fraud at various Provinces during operation "SISFIKILE". Full Article Economy Business and Finance Legal and Judicial Affairs South Africa Southern Africa Transport and Shipping
all HBM3E: All About Bandwidth By community.cadence.com Published On :: Tue, 06 Aug 2024 16:58:12 GMT The rapid rise in size and sophistication of AI/ML training models requires increasingly powerful hardware deployed in the data center and at the network edge. This growth in complexity and data stresses the existing infrastructure, driving the need for new and innovative processor architectures and associated memory subsystems. For example, even GPT-3 at 175 billion parameters is stressing the bandwidth, capacity, training time, and power of the most advanced GPUs on the market. To this end, Cadence has shown our HBM3E memory subsystem running at 12.4Gbps at nominal voltages, demonstrating the PHY’s robustness and performance margin. The production version of our latest HBM3E PHY supports DRAM speeds of up to 10.4Gbps or 1.33TB/s per DRAM device. This speed represents a >1.6X bandwidth increase over the previous generation, making it ideal for LLM training. Cadence has been the HBM performance leader since 2021, when we announced our first 8.4Gbps HBM3E PHY supporting >1TB/s of memory bandwidth per HBM DRAM. Customers building advanced AI processors have used this speed while building margin into their systems. Recall that HBM3E is a 3D stacked DRAM with 1024-bit wide data (16 64-bit channels). While this wide data bus enables high data transfer, routing these signals requires interposer technology (2.5D) capable of routing close to 2000 signals (data and control), including silicon, RDL, and silicon bridges. The interposer design is critical for the system to operate at these data rates. Cadence provides 2.5D reference designs, including the interposer and package, as part of our standard IP package. As demonstrated in our test silicon, these designs give customers confidence they will meet their memory bandwidth requirements. The reference design is also a good starting point, helping to reduce development time and risk. Our expert SI/PI and system engineers work closely with customers to analyze their channels to ensure the best system performance. Even as HBM3E delivers the highest memory bandwidth today, the industry keeps pushing forward. JEDEC recently announced that HBM4, the next version of the HBM DRAM standard, is nearing completion. JEDEC calls HBM4 an “evolutionary step beyond the currently published HBM3 standard.” They also claim HBM4 “enhancements are vital for applications that require efficient handling of large datasets and complex calculations.” HBM4 will support AI training applications, high-performance computing (HPC), and high-end graphics cards. Cadence will continue to push the HBM performance boundaries to ensure designers of these data-intensive systems can take advantage of the highest memory bandwidth available. Learn more about Cadence HBM PHY IP products. Full Article featured HBM hbm4 SerDes
all How to allow hand-made waveform plot into Viva from Assembler? By community.cadence.com Published On :: Fri, 11 Oct 2024 10:58:38 GMT Hi! I've made some 1-point waveform "markers" that I want to overlay in my plots to aid visualization (with the added advantage, w.r.t. normal Viva markers, that they update location automatically upon refreshing simulation data). For example, the plot below shows an spectrum along with two of these markers, which I create with the function "singlePointWave", and the Assembler output definitions also as shown below. The problem is: as currently created and defined, Assembler is unable to plot these elements. I can send their expressions to the calculator and plotting works from there, BUT ONLY after first enabling the "Allow Any Units" in the target Viva subwindow. Thus, I suspect Assembler is failing to plot my markers because they "lack" other information like axes units and so on. How could I add whatever is missing, so that these markers can plot automatically from Assembler? Thanks in advance for any help! Jorge. P.S. I also don't know why, but nothing works without those "ymax()" in the output definitions--I suspect they are somehow converting the arguments to the right data type expected by singlePointWave(). Ideas how to fix that are also welcome! ^^ procedure( singlePointWave(xVal yVal) let( (xVect yVect wave) xVect = drCreateVec('double list(xVal)); yVect = drCreateVec('double list(yVal)); wave = drCreateWaveform(xVect yVect); );); Full Article
all IC 23.1 installation configuration failure on RHEL 9 By community.cadence.com Published On :: Fri, 11 Oct 2024 13:34:00 GMT I am trying to install IC231 on RHEL 8 using installscape, however configuring keeps failing. I tried to run the configuration file manually as suggested in one of the previous posts and it gives me following errors: sh batch_configure.sh /home/rs/cadence/installs/IC231/install/tmp/slconfig.sh: line 165: xterm: command not foundcat: ncvhdl23.03-d103lnx86_101124125631.stat: No such file or directoryrm: cannot remove 'ncvhdl23.03-d103lnx86_101124125631.stat': No such file or directory/home/rs/cadence/installs/IC231/install/tmp/slconfig.sh: line 165: xterm: command not foundcat: ncvhdl64b23.03-d103lnx86_101124125631.stat: No such file or directoryrm: cannot remove 'ncvhdl64b23.03-d103lnx86_101124125631.stat': No such file or directory/home/rs/cadence/installs/IC231/install/tmp/slconfig.sh: line 165: xterm: command not foundcat: oaRedist22.61-p003lnx86_101124125631.stat: No such file or directoryrm: cannot remove 'oaRedist22.61-p003lnx86_101124125631.stat': No such file or directory/home/rs/cadence/installs/IC231/install/tmp/slconfig.sh: line 165: xterm: command not foundcat: amsEnv64b23.10-p043lnx86_101124125631.stat: No such file or directoryrm: cannot remove 'amsEnv64b23.10-p043lnx86_101124125631.stat': No such file or directory/home/rs/cadence/installs/IC231/install/tmp/slconfig.sh: line 165: xterm: command not foundcat: ihdl64b23.10-p043lnx86_101124125631.stat: No such file or directoryrm: cannot remove 'ihdl64b23.10-p043lnx86_101124125631.stat': No such file or directoryI am not very well versed with Linux at the moment but trying. Could any one suggest something or point to what is missing? Full Article
all Coordinates(bBoxes) of all the shapes(layers) in a layout view By community.cadence.com Published On :: Thu, 17 Oct 2024 18:58:34 GMT Hello Community, Is there any simple way how i can get the coordinates of all the shapes in a layout view? Currently i'm flattening the layout, getting all the lpps from CV and using setof to get all the shapes of a layer and looping through them to get the coordinates. Is there a way to do it without having to flatten the layout view and shapes merged or any other elegant way to do it if we flatten it? Also, dbWriteSkill doesn't give output how i desired Thanks, Shankar Full Article
all BoardSurfers: Optimizing RF Routing and Impedance Using Allegro X PCB Editor By community.cadence.com Published On :: Thu, 18 Jul 2024 21:15:00 GMT Achieving optimal power transfer in RF PCBs hinges on meticulously routed traces that meet specific impedance requirements. Impedance matching is essential to ensure that traces have the same impedance to prevent signal reflection and inefficient pow...(read more) Full Article RF PCB Routing Allegro X PCB Editor BoardSurfers RF design PCB design shapes allegro x
all DesignCon Best Paper 2024: Addressing Challenges in PDN Design By community.cadence.com Published On :: Tue, 17 Sep 2024 19:40:00 GMT Explore Impacts of Finite Interconnect Impedance on PDN Characterization Over the past few decades, many details have been worked out in the power distribution network (PDN) in the frequency and time domains. We have simulation tools that can analyze the physical structure from DC to very high frequencies, including spatial variations of the behavior. We also have frequency- and time-domain test methods to measure the steady-state and transient behavior of the built-up systems. All of these pieces in our current toolbox have their own assumptions, limitations, and artifacts, and they constantly raise the challenging question that designers need to answer: How to select the design process, simulation, measurement tools, and processes so that we get reasonable answers within a reasonable time frame with a reasonable budget. Read this award-winning DesignCon 2024 paper titled “Impact of Finite Interconnect Impedance Including Spatial and Domain Comparison of PDN Characterization.” Led by Samtec’s Istvan Novak and written with a team of nine authors from Cadence, Amazon, and Samtec, the paper discusses a series of continually evolving challenges with PDN requirements for cutting-edge designs. Read the full paper now: “Impact of Finite Interconnect Impedance Including Spatial and Domain Comparison of PDN Characterization.” Full Article featured DesignCon PDN signal integrity analysis Signal Integrity PDN Analysis Sigrity
all Using Voltus IC Power Integrity to Overcome 3D-IC Design Challenges By community.cadence.com Published On :: Tue, 08 Oct 2024 06:12:00 GMT Power network design and analysis of 3D-ICs is a major challenge due to the complex nature and large size of the power network. In addition, designers must deal with the complexity of routing power through the interposer, multiple dies, through-silicon vias (TSVs), and through-dielectric vias (TDVs). Cadence’s Integrity 3D-IC Platform and Voltus IC Power Integrity Solution provide a fully integrated solution for early planning and analysis of 3D-IC power networks, 3D-IC chip-centric power integrity signoff, and hierarchical methods that significantly improve capacity and performance of power integrity (PI) signoff while maintaining a very high level of accuracy at signoff. This blog summarizes the typical design challenges faced by today’s 3D-IC designers, as discussed in our recent webinar, “Addressing 3D-IC Power Integrity Design Challenges.” Please click here to view the full webinar. Major Trends in Advanced Chip Design From chips to chiplets, stacked die, 3D-ICs, and more, three major trends are impacting advanced semiconductor packaging design. The first is heterogenous integration, which we define as a disaggregated approach to designing systems on chip (SoCs) from multiple chiplets. This approach is similar to system-in-package (SiP) design, except that instead of integrating multiple bare die – including 3D stacking – on a single substrate, multiple IPs are integrated in the form of chiplets on a single substrate. The second major trend is around new silicon manufacturing techniques that leverage silicon vias (TSVs) and high-density fanout RDL. These advancements mean that silicon is becoming a more attractive material for packaging, especially when high bandwidth and form factor become key attributes in the end design. This brings new design and verification challenges to most packaging engineers who typically work with organic and ceramic substrate materials. Finally, on the ecosystem side, all the large semiconductor foundries now offer their own versions of advanced packaging. This brings new ways of supporting design teams with technologies like reference flows and PDKs, concepts that have typically been lacking in the packaging community. Cadence has worked with many of the leading foundries and outsourced semiconductor assembly and test facilities (OSATs) to develop multi-chip(let) packaging reference flows and package assembly design kits. The downside is that, with the time restrictions designers are under today, there isn’t enough time to simulate the details of these flows and PDKs further. For those who must make the best electro/thermal/physical decisions to achieve the best power/performance/area/cost (PPAC), factors can include accurate die size estimations, thermal feasibility, die-to-die interconnect planning, interposer planning (silicon/organic), front-to-front and front-to-back (F2F/F2B) planning, layer stack and electromigration/ IR drop (EMIR)/TSV planning, IO bandwidth feasibility, and system-level architecture selection. 3D-IC Power Network Design and Analysis The key to success in 3D-IC design is early power integrity planning and analysis. Cadence’s Integrity 3D-IC platform is a high-capacity 3D-IC platform that enables 3D design planning, implementation, and system analysis in a single, unified cockpit. Cadence’s Voltus IC Power Integrity Solution is a comprehensive full chip electromigration, IR drop, and power analysis solution. With its fully distributed architecture and hierarchical analysis capabilities, Voltus provides very fast analysis and has the capacity to handle the largest designs in the industry. Typically, 3D-IC PDN design and analysis is performed in four phases, as shown in Figure 1. Phase 1 - Perform early power delivery network (PDN) exploration with each fabric’s PDN cascaded in system PI with early circuit models. Phase 2 – Plan 3D-IC PDNs in Cadence’s Integrity 3D-IC platform, including micro bumps, TSVs, and through dielectric vias (TDVs), power grid synthesis for dies, and early rail analysis and optimization. Phase 3 – Perform full chip-centric signoff in Voltus with detailed die, interposer, and package models, including chip die models, while keeping some dies flat. Phase 4 – Perform full system-level signoff with Cadence’s Sigrity SystemPI using detailed extracted package models from Sigrity XtractIM, board models from Sigrity PowerSI or Clarity 3D Solver, interposer models from XtractIM or Voltus, and chip power models from Voltus. Figure 1. 3D-IC PDN design and analysis phases 3D-IC Chip-Centric Signoff The integration of Integrity 3D-IC and Voltus enables chip-centric early analysis and signoff. Figure 2 and Figure 3 highlight the chip centric early PI optimization and signoff flows. In early analysis, the on-chip power networks are synthesized, and the micro bumps and TSVs can be placed and optimized. In the signoff stage, all the detailed design data is used for power analysis, and detailed models are extracted and used for package, interposer, and on-die power networks. Figure 2. Early chip-centric PI analysis and optimization flow Figure 3. Chip-centric 3D-IC PI signoff Hierarchical 3D-IC PI Analysis To improve the capacity and performance of 3D-IC PI analysis, Voltus enables hierarchical analysis using chiplet models. Chiplet models can be reduced chip models in spice format or more accurate xPGV models which are highly accurate proprietary models generated by Voltus. With xPGV models, the hierarchical PI analysis has almost the same accuracy as flat analysis but offers 10X or higher benefit in runtime and memory requirements. Conclusion This blog has highlighted the major design trends enabled by advanced 3D packaging and the design challenges arising from these advancements. The design of power delivery networks is one of these major challenges. We have discussed Cadence solutions to overcome this PI challenge. To learn more, view our recent webinar, "Addressing 3D-IC Power Integrity Design Challenges" and visit the Voltus web page. Full Article PDN 3D-IC Integrity Power Integrity in-design analysis Sigrity Clarity 3D Solver
all Cadence OrCAD X and Allegro X 24.1 is Now Available By community.cadence.com Published On :: Thu, 10 Oct 2024 06:21:00 GMT The OrCAD X and Allegro X 24.1 release is now available at Cadence Downloads. This blog post provides links to access the release and describes some major changes and new features. OrCAD X /Allegro X 24.1 (SPB241) Here is a representative li...(read more) Full Article new features Allegro X PCB Editor PSpiceA/D Allegro X Advanced Package Designer what's new APD Cadence Doc Assistant CDA PSPICE OrCAD X Presto 24.1 Pulse allegro x Allegro X System Capture
all Allegro X APD: SPB 23.1 release —Your freedom to design boldly! By community.cadence.com Published On :: Thu, 16 Nov 2023 11:33:14 GMT Cadence is super excited to announce SPB 23.1 release —Your freedom to design boldly! These tools help engineers build better PCBs faster with the new 3D engine and optimized interface. We have been hard at work to bring you this release and believe that it will help you take control of the PCB design process with the powerful new features in Allegro X APD like: Packaging Support in 3DX Canvas 3DX Wire DRCs Aligning Components by Offset Text Wizard Enhancements Device File Reuse for Existing Components for Netlist and Logic Import Watch this space to know all about What’s New in SPB 23.1. Regards Team PCBTech Cadence Design System For individuals, small businesses, or teams, START YOUR FREE TRIAL. Full Article
all Aligning Components using Offset Mode in Allegro X APD By community.cadence.com Published On :: Tue, 28 Nov 2023 12:49:16 GMT Starting SPB 23.1, in Allegro X PCB Editor and Allegro X Advanced Package Designer, you can align components by using offset mode. Earlier only spacing mode was available. Follow these steps to Align Components using Offset Mode: Set Application Mode to Placement Edit. Drag the components that need to be aligned and right-click and choose Align Components. Now, in the Options tab, you will notice Spacing Section with Equal Offset. You can equally and individually offset the components by using the +/- buttons for increment or decrement. Full Article
all What is Allegro X Advanced Package Designer and why do I not see Allegro Package Designer Plus (APD+) in 23.1? By community.cadence.com Published On :: Fri, 01 Dec 2023 09:46:22 GMT Starting SPB 23.1, Allegro Package Designer Plus (APD+) has been rebranded as Allegro X Advanced Package Designer (Allegro X APD). The splash screen for Allegro X APD will appear as shown below, instead of showing APD+ 2023: For the Windows Start menu in 23.1, it will display as Allegro X APD 2023 instead of APD+ 2023, as shown below 23.1 Start menu In the Product Choices window for 23.1, you will see Allegro X Advanced Package Designer in the place of Allegro Package Designer +, as shown below: 23.1 product title Full Article
all Introducing new 3DX Canvas in Allegro X Advanced Package Designer By community.cadence.com Published On :: Tue, 05 Dec 2023 12:50:25 GMT Have you heard that starting SPB 23.1, Allegro Package Designer Plus (APD+) will be renamed as Allegro X Advanced Package Designer (Allegro X APD)? Allegro X APD offers multiple new features and enhancements on topics like Via Structures, Wirebond, Etchback, Text Wizards, 3D Canvas, and more. This post presents the new 3DX Canvas introduced in SPB 23.1. This can be invoked from Allegro X APD (from the menu item View > 3DX Canvas). Some of the key benefits of the new canvas: This canvas addresses the scale and complexity in large modern package designs. It provides highly efficient visual representation and implementation of packages. The new architecture enables high-performance 3D incremental updates by utilizing GPU for fast rendering. Real-time 3D incremental updates are supported, which means that the 3D view is in sync with all changes to the database. The new canvas provides 3D visualization support for packaging objects such as wire bonds, ball, die bump/pillar geometries, die stacks, etch back, and plating bar. This release also introduces the interactive measurement tool for a 3D view of packages. Once you open 3DX Canvas, press the Alt key and you can select the objects you want to measure. 3DX Canvas provides new 3D DRC Bond Wire Clearances with Real 3D DRC Checks. True 3D DRC in Constraint Manager has been introduced. If you open Constraint Manager, there will be a new worksheet added. Following DRC checks are supported: Wire to Wire Wire to Finger Wire to Shape Wire to Cline Wire to Component Full Article
all How to allow DRCs to the surrounding objects using Etch Back option By community.cadence.com Published On :: Thu, 14 Dec 2023 11:58:54 GMT Starting from SPB23.1, a new option, Allow DRCs to surrounding metal, has been added in the Etch-Back form to allow DRCs to the surrounding objects. form to allow DRCs to the surrounding objects. The Allow DRCs to surrounding metal option lets you see and adjust objects instead of the current behavior, which sacrifices the width of the mask for the trace. When this option is turned off, it maintains the EB mask to another object clearance. When this option is enabled, it keeps the EB mask to the EM trace edge clearance and shows a DRC if the EB mask to another object spacing is out of rule. Full Article
all How to access the Transmission Line Calculator in Allegro X APD By community.cadence.com Published On :: Tue, 02 Jan 2024 17:05:21 GMT Have you ever thought of a handy utility to specify all necessary transmission line parameters to decide upon the stackup? Starting SPB 23.1, a handy feature Transmission Line Calculator, is built into Allegro X Advanced Package Designer (Allegro X APD). This feature will require either an SiP Layout license or can be accessed through SiP Layout Bundle. From the Analyze dropdown menu in the 23.1 Allegro X APD toolbar, you can choose Transmission Line Calculator. You can use this calculator to help decide constraints and stackup for laminate-based PCB or Packages. You can calculate the correct stackup material and width/spacing to meet any requirements that may be later entered in a constraint. This is truly a calculated number and not a true field solver. The different types of calculations that the Transmission Line Calculator can provide are Microstrip, Embedded microstrip, Stripline, CPW (Coplanar), FGCPW (frequency-dependent Coplanar), Asymmetric stripline, Coupled microstrip (Differential Pair), Coupled stripline (Differential Pair), and Dual striplines. This feature is important for customers relying on fabricators/spreadsheets to provide this information or need to test a quick spacing/width as per the impedance value. Let us know your comments on this new feature in 23.1 Allegro X APD. Full Article
all Allegro: Tip of the Week : Push Connectivity By community.cadence.com Published On :: Fri, 09 Feb 2024 11:33:39 GMT At times, there might arise a condition in the design where you need to push the net of selected pins to all its physically connected objects. For example, a few pins are updated with a new net, and it is required to push the new net to all its connected objects. At times, you might update the die or copy routing to other components, when a portion of routing gets the wrong net. To propagate the net of the pin to all its physically connected objects, Allegro X APD uses the standalone command, Push Connectivity. You can call the command through Logic > Push Connectivity. Alternately, you can use the push connectivity command at the command line. Once the command is active, it lets you select pins or symbols that will be used to push net connectivity to all connected objects. Presently, dynamic shapes and filled rectangles are not considered as part of connectivity. Static shapes are supported. Full Article
all DFA check space of compont to BGA ball or BGA PAD in APD By community.cadence.com Published On :: Fri, 29 Mar 2024 12:37:40 GMT Hi, There are mang components in BGA ball side of flipchip package. Are there DFA check space of compont body or pin soldermask to BGA ball or BGA PAD or bga soldermask in allegro APD? I only find space of compont to compont in APD DFA. Full Article
all Allegro X APD - Tip of the week: Wondering how to set two adjacent layers as conductor layers! Then this post should help you. By community.cadence.com Published On :: Fri, 10 May 2024 14:01:45 GMT By default, a dielectric must separate each pair of conductor layers in the cross-section of a design. In rare cases, this does not represent the real, manufactured substrate. If your design requires you to have conductor layers that are not separated by a dielectric (such as, for half-etch designs), there is a variable that needs to be set in Allegro X APD. You must set this by enabling the variable icp_allow_adjacent_conductors. This entry, and its location in the User Preferences Editor, are shown in the following image. The Objects on adjacent conductor layers do not electrically connect together, automatically. A via must be used to establish the inter-layer connections. When enabling this option, it is recommended to exercise caution because excluding dielectric layers from your cross-section can lead to inaccurate calculations, including the calculations for signal integrity and via heights. It is important that your cross-section accurately reflect the finished product to ensure the most accurate results possible. Any dielectric layers present in the manufactured part need to be in the cross-section for accurate extraction, 3D viewing, and so on. Let us know your comments on the various designs that would require adjacent conductor layers. Full Article
all Creating Power and Ground rings in Allegro X Package Designer Plus By community.cadence.com Published On :: Fri, 31 May 2024 13:19:12 GMT Power and Ground rings are exposed rings of metal surrounding a die that supply power/ground to the die and create a low-impedance path for the current flow. These rings ensure stable power distribution and reduce noise. Allegro X Package Designer Plus has a utility called Power/Ground Ring Generator which lets you define and place one or more shapes in the form of a ring around a die. To run the PWR/GND Generator Wizard, go to Route > Power/Ground Ring Generator or type "pring wizard" in the APD command window to invoke the Wizard. This Wizard lets you define and place one or more shapes in the form of a ring around a die. The Power/Ground Ring Wizard creates up to 12 rings (shapes) at a time. If you require more rings, you can run the Power/Ground Ring Wizard as many times as needed. This command displays a wizard in which you can specify: The number of rings to be generated The creation of the first ring as a die flag (Die flag is the boundary of the die like the power ring.) If you create a die flag and the first ring is the same net as the flag, you can enter a negative distance to overlap the ring and the die flag. Multiple options for placement of the rings with respect to: Origination point Distance from the edge of the die Distance from the nearest die pin on each die side The reference designator of the die with which the rings will be used The distance between rings The width of each ring The corner types on each ring (arc, chamfer, and right-angle) An assigned net name for each ring A label for each ring The rings are basic in nature. For other shape geometries or split rings, choose Shape > Polygon or Shape > Compose/Decompose Shape from the menu in the design window. Depending on the options selected, the Power/Ground Ring Wizard UI changes, representing how the rings will be created. Verify the Wizard settings to ensure that the rings are created as intended. When the Power/Ground Ring Wizard appears, set the number of rings to 2, accept the other defaults, and click Next. You can set Create first ring as die flag to create a basic die flag. 2. Define Ring 1 and the net associated with it. a) Browse and choose Vss in the Net Names dialog box. b) Click OK. c) Specify the label as VSS. d) Click Next. The first ring should appear in your design. It is associated with the proper net; in this case, VSS. For the second ring, choose the net as Vdd and specify the label as VDD. Click Next. Click Finish in the Result Verification screen to complete the process. The completed rings appear as shown below. Now, when you click on Power and Ground Die Pin and add wirebonds, you will see that the wirebonds are placed directly on the Power and Ground rings. Full Article
all Allegro X APD : Tip of the Week: ‘Auto-blank other rats’ feature By community.cadence.com Published On :: Wed, 12 Jun 2024 09:25:34 GMT When working on a complex design, it is common to have very many net ratlines. Quantities like 1000 ratlines are possible. It can result in a cluttered view while routing. Therefore, it is useful to make all other ratlines invisible while routing interactively. You would like to make all ratlines visible again when each route action is completed. You can easily do this by enabling the Auto-blank other rats option during routing. When enabled, all rats other than the primary ones are suppressed during the Add Connect command. Full Article
all How to transfer etch/conductor delays from Allegro Package Designer (APD) to pin delays in Allegro PCB Editor By community.cadence.com Published On :: Sun, 10 Nov 2024 23:39:10 GMT The packaging group has finished their design in Allegro Package Designer (APD) and I want to use the etch/conductor delay information from the mcm file in the board design in Allegro PCB Designer. Is there a method to do this? This can be done by exporting the etch/conductor data from APD and importing it as PIN_DELAY information into Allegro PCB Editor. If you are generating a length report for use in Allegro Pin Delay, you should consider changing the APD units to Mils and uncheck the Time Delay Report. In Allegro Package Designer: Select File > Export > Board Level Component. Select HDL for the Output format and select OK. 3. Choose a padstack for use when generating the component and select OK. This will create a file, package_pin_delay.rpt, in the component subdirectory of the current working directory. This file will contain the etch/conductor delay information that can be imported into Allegro. In Allegro PCB Editor: Make sure that the device you want to import delays to is placed in your board design and is visible. Select File > Import > Pin delay. Browse to the component directory and select package_pin_delay.rpt. The browser defaults to look for *.csv files so you will need to change the Files of type to *.* to select the file. You may be prompted with an error message stating that the component cannot be found and you should select one. If so, select the appropriate component. Select Import. Once the import is completed, select Close. Note: It is important that all non-trace shapes have a VOLTAGE property so they will not be processed by the the 2D field solver. You should run Reports > Net Delay Report in APD prior to generating the board-level component. This will display the net name of each net as it is processed. If you miss a VOLTAGE property on a net, the net name will show in the report processing window, and you will know which net needs the property. Full Article
all Training Insights – Palladium Emulation Course for Beginner and Advanced Users By community.cadence.com Published On :: Fri, 13 Sep 2024 23:00:00 GMT The Cadence Palladium Emulation Platform is a hardware system that implements the design, accelerating its execution and verification. Itoffers the highest performance and fastest bring-up times for pre-silicon validation of billion-gate designs, using a custom processor built by Cadence. This Palladium Introduction course is based on the Palladium 23.03 ISR4 version and covers the following modules: Introduction Palladium flow Running a design on the Palladium system This course starts with an “Introduction” module that explains Palladium and other verification platforms to show its place in the big picture. It also compares Palladium with Protium and simulation and discusses its usage and limitations. The “Palladium Flow” module includes two stages at a high level, which are Compile and Run. Then, it covers these stages in detail. First, it covers the ICE compile flow and IXCOM compile flow steps in detail. Then it explains Run, which is common for both ICE and IXCOM modes. The third module, “Running Design on the Palladium System,” covers all the items required for running your design on the Palladium system, including: Software stack requirements Basic concepts required to understand the flow Compute machine requirements In addition, this course contains labs for both the ICE and IXCOM flows with detailed steps to exercise the features provided by the Palladium system. The lab explains a practical example of multiple counters and exercising their signals for force, monitor, and deposit features, along with frequency calculation using a real-time clock. The course is available on the Cadence support page: There is also a Digital Badge available. You will find the Badge exam opportunity when you enroll in the Online training or after you have taken the training as "live" training. For questions and inquiries, or issues with registration, reach out to us at Cadence Training. Want to stay up to date on webinars and courses? Subscribe to Cadence Training emails. To view our complete training offerings, visit the Cadence Training website. Related Training Bytes Palladium: What Are Verification Platforms Palladium: What Is Processor Based Emulation Palladium: Comparing Emulation (Z2) and Prototyping (X2) Palladium: What Are ICE and IXCOM Compile Flow Palladium: How to Process a Design to Run on Palladium Palladium: XCOM Compile Flow (TB+RTL to Palladium Database) Palladium: ICE Compile Flow (RTL to Palladium Database) Palladium: Legacy ICE Compile Flow Palladium: Cadence Software Releases for Palladium and Protium Flow Palladium: Setting of PATHs for Using Palladium Palladium: Z2 Hardware Structure (Blade and Boards) Palladium: What Is Sourceless and Loadless nets Palladium: Design Clocks Palladium: Step Count and Step Clock Palladium: Steps for Running the Design on Palladium Z2 Related Courses Verilog Language and Application Training SystemVerilog for Design and Verification Xcelium Simulator Related Blogs Training Insights – A New Free Online Course on the Protium System for Beginner and Advanced Users It’s the Digital Era; Why Not Showcase Your Brand Through a Digital Badge! Training Insights - Free Online Courses on Cadence Learning and Support Portal Full Article digital badge live training blended training Palladium Training Insights online training
all Deferrable Memory Write Usage and Verification Challenges By community.cadence.com Published On :: Thu, 17 Oct 2024 21:00:00 GMT The application of real-time data processing or responsiveness is crucial, such as in high-performance computing, data centers, or applications requiring low-latency data transfers. It enables efficient use of PCIe bandwidth and resources by intelligently managing memory write operations based on system dynamics and workload priorities. By effectively leveraging Deferrable Memory Write [DMWr], Devices can achieve optimized performance and responsiveness, aligning with the evolving demands of modern computing applications. What Is Deferrable Memory Write? Deferrable Memory Write (DMWr) ECN introduced this new memory transaction type, which was later officially incorporated in PCIe 5.0 to CXL2.0. This enhanced type of memory transaction is Deferrable Memory Write [DMWr], which flows as another type of existing Read/Write memory transaction; the major difference of this Deferrable Memory Write, where the Requester attempts to write to a given location in Memory Space using the non-posted DMWr TLP Type, it Postponing their completion of memory write transactions to improve overall system efficiency and performance, those memory write operation can be delay or deferred until other priority task complete. The Deferrable Memory Write (DMWr) requires the Completer to return an acknowledgment to the Requester and provides a mechanism for the recipient to defer (temporarily refuse to service) the Request. DMWr provides a mechanism for Endpoints and hosts to choose to carry out or defer incoming DMWr Requests. This mechanism can be used by Endpoints and Hosts to simplify the design of flow control, reduce latency, and improve throughput. The Deferrable Memory writes TLP format in Figure A. (Fig A) Deferrable Memory writes TLP format. Example Scenario Here's how the DMWr works with a simplified example: Imagine a system with an endpoint device (Device A) and a host CPU (Device B). Device B wants to write data to Device A's memory, but due to varying reasons such as system bus congestion or prioritization of other transactions, Device A can defer the completion of the memory write request. Just follow these steps: Initiation of Memory Write: Device B initiates a memory write transaction to Device A. This involves sending the memory write request along with the data payload over the PCIe physical layer link. Acknowledgment and Deferral: Upon receiving the memory write request, Device A acknowledges the transaction but may decide to defer its completion. Device A sends an acknowledgment (ACK) back to Device B, indicating it has received the data and intends to complete the write operation but not immediately. Deferred Completion: Device A defers the completion of the memory write operation to a later, more opportune time. This deferral allows Device A to prioritize other transactions or optimize the use of system resources, such as memory bandwidth or processor availability. Completion and Response: At a later point, Device A completes the deferred memory write operation and sends a completion indication back to Device B. This completion typically includes any status updates or additional information related to the transaction. Usage or Importance of DMWr Deferrable Memory Write usage provides the improvement in the following aspects: Reduced Latency: By deferring less critical memory write operations, more critical transactions can be processed with lower latency, improving overall system responsiveness. Improved Efficiency: Optimizes the utilization of system resources such as memory bandwidth and CPU cycles, enhancing the efficiency of data transfers within the PCIe architecture. Enhanced Performance: Allows devices to manage and prioritize transactions dynamically, potentially increasing overall system throughput and reducing contention. Challenges in the Implementation of DMWr Transactions The implementation of deferrable memory writes (DMWr) introduces several advancements and challenges in terms of usage and verification: Timing and Synchronization: DMWr allows transactions to be deferred, complicating timing requirements or completing them within acceptable timing windows to avoid protocol violations. Ensuring proper synchronization between devices becomes critical to prevent data loss or corruption. Protocol Compliance: Verification must ensure compliance with ECN PCIe 6.0 and CXL specifications regarding when and how DMWr transactions can be initiated and completed. Performance Optimization: While DMWr can improve overall system performance by reducing latency, verifying its impact on system performance and ensuring it meets expected benchmarks is crucial. Error Handling: Handling errors related to deferred transactions adds complexity. Verifying error detection and recovery mechanisms under various scenarios (e.g., timeout during deferral) is essential. Verification Challenges of DMWr Transactions The challenges to verifying the DMWr transaction consist of all checks with respect to Function, Timing, Protocol compliance, improvement, Error scenario, and security usage on purpose, as well as Data integrity at the PCIe and CXL. Functional Verification: Verifying the correct implementation of DMWr at both ends of the PCIe link (transmitter and receiver) to ensure proper functionality and adherence to specifications. Timing Verification: Validating timing constraints associated with deferring writes and ensuring transactions are completed within specified windows without violating protocol rules. Protocol Compliance Verification: Checking that DMWr transactions adhere to PCIe and CXL protocol rules, including ordering rules and any restrictions on deferral based on the transaction type. Performance Verification: Assessing the impact of DMWr on overall system performance, including latency reduction and bandwidth utilization, through simulation and testing. Error Scenario Verification: Creating and testing scenarios to verify error handling mechanisms related to DMWr, such as timeouts, retries, and recovery procedures. Security Considerations: Assessing potential security vulnerabilities related to DMWr, such as data integrity risks during deferred transactions or exposure to timing-based attacks. Major verification challenges and approaches are timing and synchronization verification in the context of implementing deferrable memory writes (DMWr), which is crucial due to the inherent complexities introduced by deferred transactions. Here are the key issues and approaches to address them: Timing and Synchronization Issues Transaction Completion Timing: Issue: Ensuring deferred transactions are completed within the specified time window without violating protocol timing constraints. Approach: Design an internal timer and checker to model worst-case scenarios where transactions are deferred and verify that they are complete within allowable latency limits. This involves simulating various traffic loads and conditions to assess timing under different scenarios. Ordering and Dependencies: Issue: Verifying that transactions deferred using DMWr maintain the correct ordering and dependencies relative to non-deferred transactions. Approach: Implement test scenarios that include mixed traffic of DMWr and non-DMWr transactions. Verify through simulation or emulation that dependencies and ordering requirements are correctly maintained across the PCIe link. Interrupt Handling and Response Times: Issue: Verify the handling of interrupts and ensure timely responses from devices involved in DMWr transactions. Approach: Implement test cases that simulate interrupt generation during DMWr transactions. Measure and verify the response times to interrupts to ensure they meet system latency requirements. In conclusion, while deferrable memory writes in PCIe and CXL offer significant performance benefits, their implementation and verification present several challenges related to timing, protocol compliance, performance optimization, and error handling. Addressing these challenges requires rigorous testing and testbench of traffic, advanced verification methodologies, and a thorough understanding of PCIe specifications and also the motivation behind introducing this Deferrable Write is effectively used in the CXL further. Outcomes of Deferrable Memory Write verify that the performance benefits of DMWr (reduced latency, improved throughput) are achieved without compromising timing integrity or violating protocol specifications. In summary, PCIe and CXL are complex protocols with many verification challenges. You must understand many new Spec changes and consider the robust verification plan for the new features and backward compatible tests impacted by new features. Cadence's PCIe 6.0 Verification IP is fully compliant with the latest PCIe Express 6.0 specifications and provides an effective and efficient way to verify the components interfacing with the PCIe 6.0 interface. Cadence VIP for PCIe 6.0 provides exhaustive verification of PCIe-based IP and SoCs, and we are working with Early Adopter customers to speed up every verification stage. More Information For more info on how Cadence PCIe Verification IP and TripleCheck VIP enable users to confidently verify PCIe 6.0, see our VIP for PCI Express, VIP for Compute Express Link, and TripleCheck for PCI Express See the PCI-SIG website for more details on PCIe in general and the different PCI standards. Full Article CXL PCIe PCIe Gen5 Deferrable memory write transaction
all Ascent: Training Insights: DE-HDL Libraries in Allegro X System Capture By community.cadence.com Published On :: Thu, 24 Oct 2024 05:46:00 GMT Allegro X System Capture offers a complete ecosystem for library development. This post introduces the latest DE-HDL Library Development using System Capture course in which you learn how to create different library objects. As a librarian, you often work with numerous libraries. Your tasks include creating or modifying symbols for libraries. To use Allegro X System Capture to create a library, you can follow the steps in the following flowchart: Let’s go through each step in detail. Setting the CDS_SITE Variable Before you start library development for a new project, set the CDS_SITE system environment variable. This step is required to access libraries and other configuration files. Creating a Project in Allegro X System Capture The next step is to create a project in Allegro X System Capture. Adding a Library to the Project Symbol development consists of creating symbol graphics, electrical data, and properties used by different tools in the PCB design flow. To add a library to a project, first create a library in the Libraries pane of the Project e xplorer. Creating Library Symbols The library development process supports the creation of various types of symbols. Creating a Symbol with Multiple Views You can generate multiple views of the same symbol using the Duplicate command. For example, a discrete symbol, such as a resistor, can have multiple views, as shown in the following image: Creating a Split Symbol For advanced designs, you often need to create library symbols and break them into multiple sections to support the design process. When a symbol shows all the logical pins in the physical package, it is called a single-section or flat symbol. Many large ICs have several pins and the symbols need to fit on a single schematic page. One workaround is to use vector pin names on a symbol to reduce its size, although manufacturers prefer schematics that show each pin. You can divide these high-pin count devices into smaller pieces, where each piece is a separate version of the part. Such parts are referred to as split parts or multi-section symbols. For multi-section symbols, you can create two types of split parts—symmetrical and asymmetrical. Symmetrical Split Symbols A symmetrical split symbol has only one symbol graphic, which holds two or more identical logic symbols, each with its own unique physical pin numbers. You can create a symmetrical split symbol using the Duplicate Section icon in the canvas window. Each symbol section contains the same set of pins but different pin numbers, as shown in the following image: Asymmetrical Split Symbols An asymmetrical split symbol is a symbol whose physical package contains one or more unique schematic symbols. You can create an asymmetrical split symbol by clicking the New Section icon in the canvas window. Asymmetrical symbols have a unique set of logical pins, as shown in the following image: Creating Symbols Using the Spreadsheet Interface To simplify the development of large symbols, Allegro X System Capture has a Spreadsheet Interface . You can copy from a spreadsheet into the interface. This saves time and helps minimize errors introduced by manual entry. In conclusion, the DE-HDL library development using Allegro X System Capture course involves several critical steps and supports various symbol creation techniques. This course helps librarians create and modify symbols effortlessly and deepens their understanding of library development within Allegro X System Capture. To learn more about this topic, enroll in the DE-HDL Library Development using Allegro X System Capture course on the Cadence Support portal . Click the training byte link now or visit Cadence Support and search for training bytes under Video Library. If you find the post useful and want to delve deeper into training details, enroll in the following online training course for lab instructions and a downloadable design: DE-HDL Library Development using Allegro X System Capture (Online). You can become Cadence Certified once you complete the course. Cadence Training Services now offers free Digital Badges for all popular online training courses. These badges indicate proficiency in a certain technology or skill and give you a way to validate your expertise to managers and potential employers. You can add the digital badge to your email signature or any social media channels, such as Facebook or LinkedIn, to highlight your expertise. To find out more, see the blog post Take a Cadence Masterclass and Get a Badge . You might also be interested in the training Learning Map that guides you through recommended course flows as well as tool experience and knowledge-level training modules. To find information on how to get an account on the Cadence Learning and Support portal, see here . SUBSCRIBE to the Cadence training newsletter to be updated about upcoming training, webinars, and much more. If you have any questions about courses, schedules, online training, blended/virtual live training, or public, or onsite live training, reach out to us at Cadence Training . Full Article
all Randomization considerations for PCIe Integrity and Data Encryption Verification Challenges By community.cadence.com Published On :: Fri, 08 Nov 2024 05:00:00 GMT Peripheral Component Interconnect Express (PCIe) is a high-speed interface standard widely used for connecting processors, memory, and peripherals. With the increasing reliance on PCIe to handle sensitive data and critical high-speed data transfer, ensuring data integrity and encryption during verification is the most essential goal. As we know, in the field of verification, randomization is a key technique that drives robust PCIe verification. It introduces unpredictability to simulate real-world conditions and uncover hidden bugs from the design. This blog examines the significance of randomization in PCIe IDE verification, focusing on how it ensures data integrity and encryption reliability, while also highlighting the unique challenges it presents. For more relevant details and understanding on PCIe IDE you can refer to Introducing PCIe's Integrity and Data Encryption Feature . The Importance of Data Integrity and Data Encryption in PCIe Devices Data Integrity : Ensures that the transmitted data arrives unchanged from source to destination. Even minor corruption in data packets can compromise system reliability, making integrity a critical aspect of PCIe verification. Data Encryption : Protects sensitive data from unauthorized access during transmission. Encryption in PCIe follows a standard to secure information while operating at high speeds. Maintaining both data integrity and data encryption at PCIe’s high-speed data transfer rate of 64GT/s in PCIe 6.0 and 128GT/s in PCIe 7.0 is essential for all end point devices. However, validating these mechanisms requires comprehensive testing and verification methodologies, which is where randomization plays a very crucial role. You can refer to Why IDE Security Technology for PCIe and CXL? for more details on this. Randomization in PCIe Verification Randomization refers to the generation of test scenarios with unpredictable inputs and conditions to expose corner cases. In PCIe verification, this technique helps us to ensure that all possible behaviors are tested, including rare or unexpected situations that could cause data corruption or encryption failures that may cause serious hindrances later. So, for PCIe IDE verification, we are considering the randomization that helps us verify behavior more efficiently. Randomization for Data Integrity Verification Here are some approaches of randomized verifications that mimic real-world traffic conditions, uncovering subtle integrity issues that might not surface in normal verification methods. 1. Randomized Packet Injection: This technique randomized data packets and injected into the communication stream between devices. Here we Inject random, malformed, or out-of-sequence packets into the PCIe link and mix valid and invalid IDE-encrypted packets to check the system’s ability to detect and reject unauthorized or invalid packets. Checking if encryption/decryption occurs correctly across packets. On verifying, we check if the system logs proper errors or alerts when encountering invalid packets. It ensures coverage of different data paths and robust protocol check. This technique helps assess the resilience of the IDE feature in PCIe in below terms: (i) Data corruption: Detecting if the system can maintain data integrity. (ii) Encryption failures: Testing the robustness of the encryption under random data injection. (iii) Packet ordering errors: Ensuring reordering does not affect data delivery. 2. Random Errors and Fault Injection: It involves simulating random bit flips, PCRC errors, or protocol violations to help validate the robustness of error detection and correction mechanisms of PCIe. These techniques help assess how well the PCIe IDE implementation: (i) Detects and responds to unexpected errors. (ii) Maintains secure communication under stress. (iii) Follows the PCIe error recovery and reporting mechanisms (AER – Advanced Error Reporting). (iv) Ensures encryption and decryption states stay synchronized across endpoints. 3. Traffic Pattern Randomization: Randomizing the sequence, size, and timing of data packets helps test how the device maintains data integrity under heavy, unpredictable traffic loads. Randomization for Data Encryption Verification Encryption adds complexity to verification, as encrypted data streams are not readable for traditional checks. Randomization becomes essential to test how encryption behaves under different scenarios. Randomization in data encryption verification ensures that vulnerabilities, such as key reuse or predictable patterns, are identified and mitigated. 1. Random Encryption Keys and Payloads: Randomly varying keys and payloads help validate the correctness of encryption without hardcoding assumptions. This ensures that encryption logic behaves correctly across all possible inputs. 2. Randomized Initialization Vectors (IVs): Many encryption protocols require a unique IV for each transaction. Randomized IVs ensure that encryption does not repeat patterns. To understand the IDE Key management flow, we can follow the below diagram that illustrates a detailed example key programming flow using the IDE_KM protocol. Figure 1: IDE_KM Example As Figure 1 shows, the functionality of the IDE_KM protocol involves Start of IDE_KM Session, Device Capability Discovery, Key Request from the Host, Key Programming to PCIe Device, and Key Acknowledgment. First, the Host starts the IDE_KM session by detecting the presence of the PCIe devices; if the device supports the IDE protocol, the system continues with the key programming process. Then a query occurs to discover the device’s encryption capabilities; it ensures whether the device supports dynamic key updates or static keys. Then the host sends a request to the Key Management Entity to obtain a key suitable for the devices. Once the key is obtained, the host programs the key into the IDE Controller on the PCIe endpoint. Both the host and the device now share the same key to encrypt and authenticate traffic. The device acknowledges that it has received and successfully installed the encryption key and the acknowledgment message is sent back to the host. Once both the host and the PCIe endpoint are configured with the key, a secure communication channel is established. From this point, all data transmitted over the PCIe link is encrypted to maintain confidentiality and integrity. IDE_KM plays a crucial role in distributing keys in a secure manner and maintaining encryption and integrity for PCIe transactions. This key programming flow ensures that a secure communication channel is established between the host and the PCIe device. Hence, the Randomized key approach ensures that the encryption does not repeat patterns. 3. Randomization PHE: Partial Header Encryption (PHE) is an additional mechanism added to Integrity and Data Encryption (IDE) in PCIe 6.0. PHE validation using a variety of traffic; incorporating randomization in APIs provided for validating PHE feature can add more robust Encryption to the data. Partial Header Encryption in Integrity and Data Encryption for PCIe has more detailed information on this. Figure 2: High-Level Flow for Partial Header Encryption 4. Randomization on IDE Address Association Register values: IDE Address Association Register 1/2/3 are supposed to be configured considering the memory address range of IDE partner ports. The fields of IDE address registers are split multiple values such as Memory Base Lower, Memory Limit Lower, Memory Base Upper, and Memory Limit Upper. IDE implementation can have multiple register blocks considering addresses with 32 or 64, different registers sizes, 0-255 selective streams, 0-15 address blocks, etc. This Randomization verification can help verify all the corner cases. Please refer to Figure 2. Figure 3: IDE Address Association Register 5. Random Faults During Encryption: Injecting random faults (e.g., dropped packets or timing mismatches) ensures the system can handle disruptions and prevent data leakage. Challenges of IDE Randomization and its Solution Randomization introduces a vast number of scenarios, making it computationally intensive to simulate every possibility. Constrained randomization limits random inputs to valid ranges while still covering edge cases. Again, using coverage-driven verification to ensure critical scenarios are tested without excessive redundancy. Verifying encrypted data with random inputs increases complexity. Encryption masks data, making it hard to verify outputs without compromising security. Here we can implement various IDE checks on the IDE callback to analyze encrypted traffic without decrypting it. Randomization can trigger unexpected failures, which are often difficult to reproduce. By using seed-based randomization, a specific seed generates a repeatable random sequence. This helps in reproducing and analyzing the behavior more precisely. Conclusion Randomization is a powerful technique in PCIe verification, ensuring robust validation of both data integrity and data encryption. It helps us to uncover subtle bugs and edge cases that a non-randomized testing might miss. In Cadence PCIe VIP, we support full-fledged IDE Verification with rigorous randomized verification that ensures data integrity. Robust and reliable encryption mechanisms ensure secure and efficient data communication. However, randomization also brings various challenges, and to overcome them we adopt a combination of constrained randomization, seed-based testing, and coverage-driven verification. As PCIe continues to evolve with higher speeds and focuses on high security demands, our Cadence PCIe VIP ensures it is in line with industry demand and verify high-performance systems that safeguard data in real-world environments with excellence. For more information, you can refer to Verification of Integrity and Data Encryption(IDE) for PCIe Devices and Industry's First Adopted VIP for PCIe 7.0 . More Information: For more info on how Cadence PCIe Verification IP and TripleCheck VIP enables users to confidently verify IDE, see our VIP for PCI Express , VIP for Compute Express Link for and TripleCheck for PCI Express For more information on PCIe in general, and on the various PCI standards, see the PCI-SIG website . Full Article
all SPB17.4 installation package build defect By community.cadence.com Published On :: Thu, 09 Dec 2021 23:05:50 GMT 1, Some components in the installation package cannot choose to install; even if they do not choose them, they will still be installed; just less shortcut icons, the documents are still released to the installation directory. 2, "Catia Application Frame" repeat the problem? “x:CadenceSPB_17.4 oolsin“ ”x:CadenceSPB_17.4 oolsspatial“ "Catia Application Frame" shouldn't you use the latest version? 3,Follow-up update patch cleaning the useless files and extra empty folder action !!! The SPB17.4 installation package is currently the worst installation package I have seen for large-scale software packaging. Full Article
all Orcad PCB (allegro) not using GPU over USB By community.cadence.com Published On :: Mon, 13 Dec 2021 16:19:21 GMT Hi, I have a monitor plugged to my laptop using a HDMI to USB adapter. When using this adapter, Allegro runs very slowly. It seems that it is not using my video card. Is this a known issue with a workaround I can try? Thanks, Michael Full Article
all datasheets for difference of Allegro PCB and OrCAD Professional By community.cadence.com Published On :: Tue, 14 Dec 2021 09:08:17 GMT Hi All I am looking for the functions which are different about OrCAD Professional and Allegro tier. is there any resource? regard Full Article