is

Start Your Engines: An Innovative and Efficient Approach to Debug Interface Elements with SimVision MS

This blog introduces you to an efficient way to debug interface elements or connect modules in a mixed-signal simulation.(read more)




is

Knowledge Booster Training Bytes - Virtuoso Visualization and Analysis XL

This blog describes how to efficiently use Virtuoso Visualization and Analysis XL.(read more)




is

Virtuoso Meets Maxwell: Completing the Virtuoso RF Solution Assisted Flow

In my last blog, Getting Your Existing SiP File Into Virtuoso RF, I talked about the new enhancements in ICADVM20.1 ISR25 for Virtuoso RF Solution. At the end of the blog, I told you about the Fully Assisted Roundtrip flow, which includes importing SiP files that are compatible with the Virtuoso RF Solution assisted import flow into the Virtuoso platform. Let's examine how the Fully Assisted Roundtrip flow works in this blog.(read more)




is

Virtuoso ICADVM20.1 ISR27 and IC6.1.8 ISR27 Now Available

The ICADVM20.1 ISR27 and IC6.1.8 ISR27 production releases are now available for download.(read more)




is

Test Your Know How : Allegro in Design Analysis

Which Analysis is Being Performed by Allegro in this Image?

A. Impedance

B. Coupling

C. Crosstalk

D. Return Path

E. Reflection

Simply answer by letter or include any reason to support your answer...




is

How to perform the EMI / EMC analysis on the PCB layout

Hai Community,

I have a PCB board which has multiple high speed nets and I want to perform the EMI and EMC checking.

Which Cadence tool should I use for checking the EMI and EMC coupling?

Regards,

Rohit Rohan




is

How to resolve the impedance issue using the OrCAD X Professional

Dear Community,

I have created a PCB board and let's say I have found some parts of the PCB board where there are impedance issues, then how to resolve that impedance issue using the OrCAD X Professional.

Regards,

Rohit Rohan




is

What is difference between the Cadence OrCAD / Allegro 24.1 with the Altium Designer 24

Hai Community,

What are the differences between the Cadence OrCAD / Allegro 24.1 with the Altium Designer 24.

Can I get the grid matrix difference between these two tools?

Regards,

Rohit Rohan




is

Allegro PCB Router quit unexpectedly with an exit code of -1073741701. Also, nothing is logged in log file.

Has anyone experienced the same situation?




is

Training Bytes: Explore Cadence DFT Synthesis Flow with Bytes

Training Bytes are not just short technical videos; they are particularly designed to provide comprehensive support in understanding and learning various concepts and methodologies.

These comprehensive yet small Training Bytes can be created to show various concepts and processes in a shorter pane of five to ten minutes, for example, running DFT synthesis, scanning insertion, inserting advanced testability features, test point insertion, debugging DFT violations, etc.

In this blog, we will show you the DFT Synthesis Flow with Cadence's Genus Synthesis Solution using small Training Bytes available on the Cadence Learning and Support Portal. To explore these training bytes more, log on to support.cadence.com and select the learning section to choose the training videos, as shown below.

DFT Synthesis Flow with Genus Synthesis Solution

First, we will understand the Synthesis Flow with DFT in the Genus Synthesis Solution:

Understanding a Script File that Used to Run the Synthesis Flow With DFT

Here, we will show you "How to run the Test Synthesis Flow to Insert Scan Chains and Improve the Testability of a Design" in the Genus Synthesis Solution:

Running Test Synthesis Flow to Insert Scan Chains And Improve the Testability of a Design in the Genus Synthesis Solution

Let's check the flops marked with the dft_mapped attribute for scan mapping in Genus Synthesis Solution:

How to Check Flops Marked With dft_mapped Attribute For Scan Mapping in Genus Synthesis Solution?

How to Find Non-Scan Flops of a Design in Genus? (Video)

Once the flops are mapped to scan flip flops and the scan chain inserted, we will see how to handle the flops marked with the dft_dont_scan attribute for scan mapping in Genus Synthesis Solution.

How to Handle the Flops Marked With the dft_dont_scan Attribute For Scan Mapping in Genus Synthesis Solution?

Here, we will see how to fix DFT Violations using the command fix_dft_violations:

Fixing DFT Violations (Video)

Once the design has been synthesized, let's explore the DFT design hierarchy in Genus Stylus CUI:

Exploring DFT Design Hierarchy in Genus Stylus CUI (Video)

Understand why sequential elements are not mapped to a scan flop:

Why Are Sequential Elements Not Mapped to a Scan Flop?

Explore hierarchical scan synthesis in Genus Stylus Common UI:

Understanding Hierarchical Scan Synthesis in Genus Stylus Common UI. (Video)

To understand how to resolve different warnings and errors (for example, DFT-415, DFT-512, DFT-304, etc.) in Genus Synthesis Solution, here are some videos you can refer to:

How to Resolve Warning: DFT-415 (Video)

How to Resolve Error: DFT-407 (Video)

How to Resolve Error: DFT-404 (Video)

DFT-510 Warning During Mapping (Video)

How to Resolve Warning: DFT-512 (Video)

How to Resolve Warning: DFT-511 (Video)

How to Resolve Warning: DFT-304 (Video)

How to Resolve Warning: DFT-302 (Video)

How to Resolve Error: DFT-515 (Video)

How to Resolve Error: DFT-500 (Video)

Here, we will see how we can generate SDC constraints for DFT constructs for many scan insertion techniques, such as FULLSCAN, OPCG, Boundary Scan, PMBIST, XOR Compression, SmartScan Compression, LBIST, and IEEE 1500:

How to Generate SDC Constraints for DFT Constructs in Genus Synthesis Solution? (Video)

Explore advanced testability features that can be inserted in Genus Synthesis Solution, such as Boundary Scan, Programmable Memory built-in Self-Test Logic (PMBIST), Compression Logic, Masking, and On-Product Clock Generation Logic (OPCG):

Advanced Testability Features (Video)

To understand What the IEEE 1500 Wrapper and its Insertion Flow in Genus Synthesis Solution, follow the bytes:

What Is IEEE 1500 Wrapper? (Video)

IEEE 1500 Wrapper Insertion Flow in Genus Synthesis Solution (Video)

Understand the On-product Clock Generation (OPCG) insertion flow in Genus Synthesis Solution Stylus CUI with this byte:

Understanding On Product Clock Generator (OPCG) Insertion in Genus Stylus CUI (Video)

To debug DFT violations, you can use DFT Analyzer from Genus GUI and explore its features here:

Debugging Using GUI: DFT Analyzer (Video)

Exploring DFT Analyzer View of Genus Synthesis Solution GUI (Video)

To understand What is Shadow Logic, How to Insert Test Points, How to do Testability Analysis Using LBIST, and How to Deterministic Fault Analysis in Genus, follow this article:

What is Shadow Logic

To insert the Boundary Scan Logic in and control Boundary Optimization in Genus Synthesis Solution, refer to these small bytes:

How to Insert Boundary Scan Logic in Genus? Video)

Controlling Boundary Optimization in Genus Synthesis Solution Stylus CUI (Video)

Compression techniques are used during scan insertion to reduce the test data volume and test application time (TAT) while retaining the test coverage. To understand what compression and the compression techniques are, watch this article:

What is Compression Technique During Scan Insertion? (Video)

Interested to know what "Unified Compression" is? To get the concept, you can watch this small demo:

What Is Unified Compression? (Video)

To Explore More, Register for Online Training




is

Is Design Power Estimation Lowering Your Power? Delegate and Relax!

The traditional methods of power analysis lag by various shortcomings and challenges:

  • Getting an accurate measure of RTL power consumption during design exploration
  • Getting consistent power through the design progress from RTL to P&R.
  • System-level verification tools are disconnected from the implementation tools that translate RTL to gates and wires.

The Cadence Joules RTL Power Solution closes this gap by delivering time-based RTL power analysis with system-level runtimes, capacity, and high-quality estimates of gates and wires based on production implementation technology. The Cadence Joules RTL Power Solution is an RTL power analysis tool that provides a unified engine to compute gate netlist power and estimate RTL power. The Joules solution delivers 20X faster time-based RTL power analysis and can analyze multi-million instance designs overnight, with impressive accuracy within 15% of signoff power.

Moreover, it integrates seamlessly with numerous Cadence platforms, eliminating compatibility and correlation issues! In addition, the Joules RTL Power Solution GUI (Graphical User Interface) helps you analyze/debug the power estimation/results using several GUI capabilities.

Want to take a tour of this power estimation world? Gear up to attend the training class created just for you to dive deep into the entire flow and explore this exciting power estimation method/flow with hands-on labs in two days!

Training

In the Joules Power Calculator Training course, you will identify solutions and features for RTL power using Cadence Joules RTL Power Solution. You will set up and run the RTL power flow with Joules RTL Power Solution and identify Joules's Graphical User Interface (GUI) capabilities. The training also explores how you can estimate power using vectorless power, stimulus flow, RTL Stim to Gate flow, and replay flow, and also interfaces Joules with Cadence's Palladium Emulation Platform. You will estimate power at the chip level and understand how to navigate the design and data mining using Joules.

The training also covers power exploration features and how to analyze ideal power and ODC-driven sequential clock gating. You will identify low-activity registers at the clock gate. You will also identify techniques to analyze power, generate various reports, and analyze results through Joules GUI. The training covers multiple strategies to debug low stimulus annotation and how you can better correlate RTL power with signoff. You also identify Genus-Joules Integration. In addition, we ensure that your learning journey is smooth with hands-on labs covering various design scenarios.

Lab Videos

To start you on your exciting journey as an RTL power analysis expert, we have created a series of short channel lab videos on our Customer Support site: Lab Demo: Setting Up and Running Basic RTL Power Flow in Joules RTL Power Solution (Video). You can refer to each lab module's instructions in demo format. This will help accelerate your tool ramp-up and help you perform the lab steps more quickly if you are stuck. You might be a beginner in the RTL power analysis world, but we can help you sail through it smoothly.

What's Next?

Grab your badge after finishing the training and flaunt your expertise!

Related Training

Related Blogs




is

Here Is the Recording of the RTL-to-GDSII Flow FrontEnd Webinar!

In this recent Training Webinar, we explore the concepts of RTL design, design verification, and coverage analysis while unveiling the exciting world of front-end design flow by guiding you through essential steps involved in creating integrated circuits—the building blocks of modern electronics.

We’ll break down the process into manageable stages, from defining the chip’s functionality to its physical realization. We’ll investigate the front-end part of the RTL-to-GDSII flow—from specification to functional verification and design coverage—and explore:

  • Key concepts of specifying chip behavior and performance
  • How to translate ideas into a digital blueprint and transform that into a design
  • How to ensure your design is free of errors

Watch the Training Webinar recording from September 18, 2024: A Beginner’s Guide to RTL-to-GDSII Front-End Flow

Want to Learn More?

This link gives you more information about this RTL-to-GDSII Flow, the related training course, and a link to enroll:

Cadence RTL-to-GDSII Flow Training

The course includes slides with audio and downloadable laboratory exercises designed to emphasize the topics covered in the lecture. There is also a Digital Badge available for the training.

 Also, take this opportunity to register for the free Online Training related to this Webinar Topic.

Cadence RTL-to-GDSII Flow

Xcelium Simulator

Verilog Language and Application

Learning Maps

The online class is free for all Cadence customers with a Cadence Learning and Support Portal account. For instructor-led training sessions "Live" or "Blended" please contact Cadence Training.

Related Training Bytes

What is RTL Coding In VLSI Design?

What is Digital Verification?

What Is Synthesis in VLSI Design?

What Is Logic Equivalence Checking in VLSI Design?

What Is DFT in VLSI Design?

What is Digital Implementation?

What is Power Planning?

What are DRC and LVS in Physical Verification?

What are On-Chip Variations?

Related Blogs

Did You Miss the RTL-to-GDSII Webinar? No Worries, the Recording Is Available!

Training Insights – Why Is RTL Translated into Gate-Level Netlist?

Training Bytes: They May Be Shorter, But the Impact Is Stronger!

Cadence Support - A Round-the-Clock Problem Solver, Webinar Recording Available!




is

Island Economies of the Future 2019/20 – the results

Cyprus is ranked first in fDi’s Island Economies of the Future rankings, followed by the Dominican Republic and Sri Lanka. Cathy Mullan and Naomi Davies detail the results.




is

Tourism Locations of the Future 2019/20 – FDI Strategy

Australia tops the FDI Strategy category of fDi's Tourism Locations of the Future 2019/20 rankings, followed by Costa Rica and Azerbaijan.




is

View from the Middle East & Africa: small steps can have a big impact on tourism

Poor infrastructure and political instability deter tourism, but small and manageable steps to avoid chaos and promote hospitality can work wonders.




is

Viewpoint: In emerging states, more investment isn’t enough

Emerging states must re-orientate their investment efforts to increasingly target those with an outsized social impact




is

Egypt planning minister strives for sustainable economic growth

Egypt is well on the way to establishing a diversified economy, claims Hala El Saeed, minister of planning and economic development 




is

Tanzanian tourism boom undermined by investor concerns

Tanzania's economy is booming and its tourism sector is thriving. However, concerns about the president's strong-arm tactics and delays in the completion of key infrastructure projects are threatening this growth.




is

How the Suez Canal Economic Zone is aiding Egypt's economic resurgence

Combining a strategic location with an investor-friendly environment, Egypt is ensuring its Suez Canal Economic Zone is primed for foreign investment. 




is

A green future for Cape Town’s Atlantis

Atlantis in South Africa has a new SEZ focused on green manufacturing, which is hoping to turn around the area's fortunes. Annie Hessler reports.




is

FDI screening moves to the fore as protectionism takes hold

Authorities in the US, the EU and across the developed world are stepping up efforts to scrutinise foreign investment on the grounds of both national security and tech sovereignty.




is

Finance minister seeks to keep Serbia in FDI spotlight

Serbia’s minister of finance, Siniša Mali, explains why the country is one of Europe's economic stars, and how its FDI levels have risen on the back of this.




is

fDi’s European Regions of the Future 2020/21: Paris Region retains supremacy

Paris Region has kept its fDi European Region of the Future title, while Dublin Region holds on to second place and North Rhine-Westphalia is in third. 




is

fDi’s European Cities and Regions of the Future 2020/21 - London leads LEP ranking while Oxfordshire makes rapid rise

London LEP and Thames Valley Berkshire LEP hold on to their respective first and second places in the Local Enterprise Partnership rankings, while Oxfordshire LEP jumps up eight places to third. 




is

Mobility expertise boosts Braunschweig's ambitions

Despite nurturing its R&D capacity, the city of Braunschweig lags its German peers in attracting FDI. Now it hopes a focus on the mobility sector will mean its technical skills are matched with investment.




is

Verisk Maplecroft report predicts civil unrest to continue in 2020

Escalation in protests across the globe in 2019 are forecast to persist into the new decade, according to Verisk Maplecroft report.




is

Climate concerns top long-term WEF risks for first time

Severe threats to the environment accounted for all of the five most likely long-term risks in the WEF’s Global Risks Report 2020.




is

Auckland’s tourism draws major investment opportunities

Steve Armitage, general manager of destination at Auckland Tourism, Events and Economic Development explains why the New Zealand city’s international profile is growing so fast.




is

Afghanistan seeks pioneers to reap rewards of its risks

Despite recurrent challenges, Afghanistan’s business environment is improving. Now the authorities are working to persuade investors the rewards are worth the risk through a series of economic and legal reforms. 




is

View from Asia: why Asia needs to nurture its tourism offering

Asia outstrips the world for tourist arrivals and is still experiencing growth. Constant maintenance and upgrade are essential to maintain this lead.




is

Industry minister seeks to put Afghanistan back in business

Ajmal Ahmady, Afghanistan's minister of industries and commerce, outlines government efforts to make the country more conducive to business.




is

Pakistan’s UK high commissioner hails land of opportunity

Mohammad Nafees Zakaria, Pakistan’s UK high commissioner, talks about his country’s potential for foreign investors.




is

Is Pakistan back on the tourist trail?

Having experienced issues with security and bureaucracy for decades, Pakistan is making a comeback as a tourism destination. However, foreign investors have yet to make their presence felt in the sector.




is

Balochistan representative hails new dawn

Sardar Popalzai, president of the Balochistan Economic Forum, talks about the blue economy and the Pakistani province’s tourism potential.




is

Jamaican tourism minister seeks to explode myths

Edmund Bartlett, Jamaica’s minister of tourism, talks about key investment opportunities and the need for better international reporting when natural disasters strike.




is

The Global Lawyer: Is NAFTA 2.0 a litigator's dream?

Replacing the “nightmare” that was Nafta was a dream of US president Donald Trump – but its replacement appears to favour few groups except for dispute resolution firms.




is

Bolivian minister hails tourism increase

Marcelo Eduardo Arze García, Bolivia’s vice minister of tourism, tells Sebastian Shehadi why tourists are turning their attention to one of South America's less explored destinations. 




is

Which FDI sectors could benefit from the coronavirus crisis?

Wavteq's Henry Loewendahl discusses which sectors retain potential for foreign investment amid the current global crisis 




is

Gambling liberalisation pushes up FDI in leisure sector

FDI in the leisure and entertainment sector has risen sharply in recent years, with Asia-Pacific the leading region 




is

UK firm targets booming medicinal cannabis market

Eco Equity is one of only a few Europe-based investors in medicinal cannabis from Africa and the Caribbean, an area in which the UK is missing an opportunity, according to CEO Jon-Paul Doran.




is

The death list: These cars have been discontinued for 2025

We're already deeply into the discovery phase of the 2025 model year. With it, as usual, have come a stellar crop of new vehicles—everything from the high and mighty Chevy Corvette ZR1 to the cheeky, efficient Honda Civic Hybrid. But on the sadder end of the spectrum, we're tallying the list of vehicles that didn't make the cut—the...




is

Cadillac discontinues XT4 crossover SUV

The XT4 compact crossover ends production in January after one generation The Cadillac Optiq electric crossover will take the place as the entry point into the Cadillac brand Production of the XT4 ends not longer after it ended on the Chevy Malibu as GM retools its Fairfax Assembly for the next Bolt EV A year after an interior glow-up, Cadillac...




is

2024 Mazda CX-90 recalled for engine start-stop issues

Mazda is recalling CX-90 three-row crossover SUVs because of a software problem that could prevent the engine from restarting when the engine stop-start system is used. The CX-90 is available with mild-hybrid and plug-in hybrid powertrains, but this recall only involves 2024 mild-hybrid models, encompassing 38,926 vehicles in total. The mild...




is

2026 Cadillac Vistiq electric 3-row SUV revealed with $78,790 price tag

Cadillac Vistiq revealed as brand's “globally sized” electric three-row SUV Vistiq comes standard with 615 hp and 102 kwh Pricing starts at $78,790, including destination Cadillac's expansion of its electric vehicle lineup continues with the arrival of the 2026 Vistiq, a midsize SUV with third-row seats designed to fill the gap between...




is

2026 Cadillac Vistiq targets 300 miles of range, costs $78,790

The Cadillac Vistiq will serve as the electric XT6 three-row SUV replacement Cadillac Vistiqs are expected to have about 300 miles of EPA-rated range The Vistiq will cost $78,790 when it arrives in 2025. Cadillac on Tuesday confirmed additional details of the Vistiq three-row electric SUV, which remains on track for a launch next year as a 2026...




is

Mazda CX-90 and CX-70 recalled for power loss, electrical issues

Mazda issued two more recalls for the CX-90, and the CX-70 joins recall list One issue stems from an inverter software issue while the other has do to with faulty software in the dashboard New software is the fix for both issues Mazda is recalling CX-90 and CX-70 crossover SUVs for two separate software-related issues. One could cause loss of...




is

AIFC chief sets fintechs in his sights

Nurlan Kussainov, CEO of Kazakhstan’s AIFC Authority, discusses the financial centre’s achievements to date, and describes its ambitions to become a reference point in central Asia for capital markets and the fintech sector. 




is

Kazakhstan works to shake free from the ‘Dutch disease’

Kazakhstan is about to unveil a plan that aims to diversify its exports by fostering industrial development and to make the country a base for export-oriented manufacturers connected to global value chains. Jacopo Dettoni reports. 




is

Kazakhstan looks to neighbours to realise agribusiness ambitions

The development of its agribusiness sector is one of Kazakhstan’s key priorities, and a first wave of foreign investors from Europe and Asia is looking at the country as a base to supply major markets in the regions. 




is

Madeira vice-president eyes fiscal independence from Lisbon

Pedro Calado, vice-president of Madeira’s regional government, tells Sebastian Shehadi about the island's capacity for more upmarket tourism and its ongoing struggle to gain financial independence from Portugal.