co Display Resource Editor: Different Colors for Schematic and Layout Axis By community.cadence.com Published On :: Wed, 23 Oct 2024 06:30:07 GMT Hi In the environment I'm currently working, axes are shown for schematic, symbol, and layout views.For schematics and symbols, I'd prefer a dim gray, such that the axes are just visible but not dominant. For the layout, I'd prefer a brighter color. Is there a way to realize this? So far when I change the color of the 'axis' layer in the display resource editor, the axes in all three views get changed together: Thanks very much for your input! Full Article
co Cannot access individual noise contributions using SpectreMDL By community.cadence.com Published On :: Tue, 29 Oct 2024 12:21:23 GMT I have tried replicating the setup described in a previous post (here), with the proposed solution. The MDL measurements return a value of 0 for all exported result but the first. Using Viva I can actually see the correct value for each contribution. I am using :- Spectre 23.1.0.538.isr10- Viva IC23.1-64b.ISR8.40 What should I do differently? Thanks! ***** test.scs ***** r1 (1 0) res_model l=10e-6 w=2e-6 r2 (2 1) res_model l=15e-6 w=2e-6 vr (2 0) vsource dc=1.0 mag=1 model res_model resistor rsh=100 kf=1e-20*exp(dkf) parameters dkf=0 statistics { process { vary dkf dist=gauss std=0.5 } } noi (1 0) noise freq=1 /***** test.mdl *****/ alias measurement noi_test { run noi; export real noi_total=noi_test:out; export real r1_total=r1:total; export real r1_flicker=r1:fn; export real r1_thermal=r1:rn; export real r2_total=r2:total; export real r2_flicker=r2:fn; export real r2_thermal=r2:rn; } run noi_test **** test.measure **** Measurement Name : noi_testAnalysis Type : noisenoi_total = 6.9282e-06 r1_flicker = 0 r1_thermal = 0 r1_total = 0 r2_flicker = 0 r2_thermal = 0 r2_total = 0 Full Article
co Config sweep View in Tests By community.cadence.com Published On :: Wed, 30 Oct 2024 10:28:38 GMT Hi all, I have a question regarding how to sweep the config view without using a global variable. I’d like to set a different config view for each test, and I'm trying to avoid using corners or plan. Any suggestions on how to achieve this? Thanks in advance for your help! Best,MooH Full Article
co Change code in veriloga view from external program By community.cadence.com Published On :: Wed, 30 Oct 2024 15:31:02 GMT For reasons too complicated to go into here, I need to generate the code for a veriloga view from a outside the normal Verilog-A editor. I would start with an "empty" veriloga view generated from the symbol in the normal way so I get the port order correct, then use external code to provide "guts" of the veriloga view by overwriting the generated code. My understanding is that and code changes made external to the normal flow do not get picked up by Cadence - the Verilog-A code gets read at design time, not at netlist time. Would simply forcing a check and save of the veriloga view after the code is modified fix that problem? Or is there an easier way to incorporate externally generated Verilog-A code? Full Article
co Verilog-A: Can I ignore WARNING (VACOMP-1047) By community.cadence.com Published On :: Thu, 31 Oct 2024 22:26:29 GMT I need to include Verilog-A files which live outside the Cadence ecosystem (i.e., they are not in veriloga views but rather are just text files) into a veriloga view. These external modules are not compatible with OA (parametized port widths) so I can't put them into cellviews and hook them together using schematics. Example: I have a cellview "test" which has a symbol and veriloga view. I have three "externaI" modules mod1 (inside an external file mod1.va), mod2 (inside an external file mod2.va), and mod3 (inside an external file mod3.va). I instantiate one instance of each module in "module test". The three modules have some parametized ports which are interconnected by parameterized signals p1 and p2. These two signals are strictly local to the module. At the bottom of the module I use "`include mod1.va", "`mod2.va", etc. When I check and save test->veriloga it checks all the included modules as well as the "test" module. However, I get a warning: Warning from spectre during AHDL compile. WARNING (VACOMP-1047): The Verilog-A file contains more than one module definition. ADE can process only one module per Verilog-A file. Put only one module in each Verilog-A file so that ADE can identify pin names, directions, and hierarchy within each separate module. Is this just a SUGGESTION that I can safely ignore, or are my included modules going to be ignored? Full Article
co Xcelium/Simvision/xrun running very slow (waiting for SimVision/Verisium Debug to connect...) By community.cadence.com Published On :: Fri, 01 Nov 2024 10:44:24 GMT Hello,I would like to use the simulation software xrun/simvision that comes with XCELIUM. We are currently using classroom licenses and want to disable all ip addresses on the student pcs except the license server ip. We want to make sure that students cannot copy confidential data from the Cadence tools.Problem:When I launch the xrun simulation while all ip addresses are blocked, it starts but the performance is very slow. The GUI starts after 5 minutes and the simulation is ready after 10 minutes. The interesting thing is that when I enable all blocked ip addresses, everything works at a reasonable speed. Terminal Output (execution without internet connection): xrun -gui design.vhd waiting for SimVision/Verisium Debug to connect...Is there a way to run the simulation tools without an Internet connection? Or can you give me the ip addresses that are used by the simulation tools so that I can enable only those specific ips?Regards,Max Full Article
co Colorcoding for low cpk in Yield-View in Assembler By community.cadence.com Published On :: Tue, 05 Nov 2024 13:06:47 GMT Hi, I'm searching for a way to get a quick overview of too low cpk-values after a montecarlo sim. The non-MC results have the spec and thus the easy/understandable red/green/(yellow) colorcoding, but for MC sims I don't get a highlight for high variations inside the limits. Is this possible (besides copying each expression into avg()+3*std()) and ..-..)? It would be really handy to scan through finished sims... (My final application is then to export the table for my reports and documentation...) Regards, leo Full Article
co How to Set Up a Config View to Easily Switch Between Schematic and Calibre of DUT for Multiple Testbenches? By community.cadence.com Published On :: Tue, 12 Nov 2024 16:22:53 GMT Hello everyone, I hope you're all doing well. I’ve set up two testbenches (TB1 and TB2) for my Design Under Test (DUT) using Cadence IC6.1.8-64b.500.21 tools, as shown in the attached figure. The DUT has multiple views available: schematic, Calibre, Maestro, and Symbol, and each testbench uses the same DUT in different scenarios. Currently, I have to manually switch between these views, but I would like to streamline this process. My goal is to use a single config view that allows me to switch between the schematic and the extracted (Calibre) views. Ideally, I would like to have a configuration file where making changes once would update both testbenches (TB1 and TB2) automatically. In other words, when I modify one config, both testbenches should reflect this update for a single simulation run. I would really appreciate it if you could guide me on the following: How to create a config view for my DUT that can be used to easily switch between the schematic and extracted views, impacting both TB1 and TB2. Where to specify view priorities or other settings to control which view is used during simulation. Best practices for using a config file in this scenario, so that it ensures consistency across multiple testbenches. Please refer to the attached figure to get a better understanding of the setup I’m using, where both TB1 and TB2 include the same DUT with multiple available views. Thank you so much for your time and assistance! Full Article
co incorrect output of multiplication in jaspergold By community.cadence.com Published On :: Fri, 16 Feb 2024 10:02:22 GMT I want to use jaspergold to formally verify functionality of my custom multiplier. I am computing the expected result using A*B to check against output of my multiplier. Here, A and B are two logic signed operands. However, jaspergold is performing the operation A*B incorrectly. I have reproduced this issue using the attached example. JasperGold compiles and elaborates the module and subsequently runs a formal proof. The tool raises a counterexample to assertion whose screenshot is attached below: I simulated the same example using xrun and it was giving the correct product output in simvision waveform. Please help me resolve this issue. I am using 2023.03 version of Jasper Apps. Thanks and regards Anubhav Agarwal Full Article
co UVM Adapter for Pipelined protocols like AHB, AXI etc By community.cadence.com Published On :: Sat, 24 Feb 2024 06:10:18 GMT Hello, I have been running this `uvm_reg_hw_reset_seq` sequence for the AHB protocol. My UVM Adapter looks like: Issue: When I use basic reg.write, my write access are working well, as that is managed by the driver i.e. once adapter gives the packet to the driver, the driver supplies the address and the control signals to the DUT on the first clock cycle and then the write data on the next clock cycle. But when I am performing the read operation, somehow the UVM adapter is reading the data at the same clock cycle where read address + Controls are supplied and this is triggering read failure messages from the `uvm_reg_hw_reset_seq` sequence. What should I modify in the driver/sequencer/adapter so that the UVM adapter can read the data on the next cycle instead of the same clock cycle. Just FYI: The waveforms of the read operation are correct, it is just the Adapter and the `uvm_reg_hw_reset_seq`. The AHB Driver + AHB Monitor is fully proven and verified to be working correctly. Full Article
co explain/correct my understanding between average/covered in imc metrics By community.cadence.com Published On :: Wed, 17 Apr 2024 05:36:41 GMT I'm working on the code coverage. Doing a metrics analysis by default we see overall average grade and overall covered. But when i do a block analysis on an instance i see overall covered grade, code covered grade, block covered grade, statement covered grade, expression covered grade, toggle covered grade. As I dont know the difference I started to read the IMC user guide and came to know there are 3 things we come across while doing a code coverage local, covered, average From my understanding local - child instances metrics doesnt reach the parent level. For example, we have an instance Q and its sub instances like Q.a, Q.b. Block Local grade of Q can be 100% even when its instances Q.a and Q.b a block local grades isnt at 100%. In the attached image there is formula The key difference between average and covered is the weights. Average : Mathematically taking the above scenario where Q.a, and Q.b has 10 blocks each. Q.a has covered 8 blocks and q.b has covered 2 blocks. Now if we take the normal average it should be total covered/ totatl number = 8+2/10+10 yielding 50%. But when we add weights saying Q.a is 70% and Q.b is 30% the new number would be (8*0.7+2*0.3) / (10*0.7+10*0.3) resulting 62%. Because of the weights we see 12% bump. Covered: there is no role of weights. Among these 3 metrics i've changed my default view to this in the image to get more realistic picture when i do analyze metrics. Do you guys agree with the approach? Full Article
co How do I create a basic connectivity csv? By community.cadence.com Published On :: Sat, 27 Apr 2024 00:58:07 GMT First time user of JasperGold. Chip level verif. I want to prove that an arbiter and a buffer are connected. I want to use the connectivity app to do that. I see from the user guide, that I should provide a connectivity map, but i have no idea how to construct one.The training videos said use this command: check_conn -generate_template jasper_template.xlsm -xlsmBut that did nothing, or at least it did not produce a file that i could find [<embedded>] % check_conn -generate_template jasper_template.xlsm -xlsmERROR (ESW104): Invalid command formation. Problem occurs with "-generate_template -xlsm". And even if it did, I don't even know what's in the file, and whether it contains the two ips I'm trying to check.I'm hoping someone can give me a bit of a boost here with some knowledge. Full Article
co "How to disable toggle coverage of unused logic" By community.cadence.com Published On :: Tue, 28 May 2024 11:46:30 GMT I'm currently work in coverage analysis. In my design certain register bits remain unused, which could potentially lower toggle coverage. Specifically, I'd like to know how to disable coverage for specific unused register bits within a 32-bit register. For instance, I want to deactivate coverage for bit 17 and bit 20 in a 32-bit register to optimize toggle coverage. Could you please provide guidance on how to accomplish this? Full Article
co Is it possible to automatically exclude registers or wires that are not used from toggle coverage? By community.cadence.com Published On :: Wed, 03 Jul 2024 12:04:29 GMT Hello, I have a question about toggle coverage. In my case, there are many unused registers or wires that are affecting the toggle coverage score negatively. Is it possible to automatically exclude registers or wires that are not used from toggle coverage? My RTL code is as follows, Is it possible to automatically disable tb.top1.b and tb.top1.c without using an exclude file? module top1; reg a; reg b; reg [31:0] c; initial begin #1 a=1'b0; #1 a=1'b1; #1 a=1'b0; end endmodule module tb; top1 top1(); endmodule Full Article
co Issues related to cadence xrun command By community.cadence.com Published On :: Thu, 08 Aug 2024 06:47:05 GMT We are trying to run compilation, elab and sim with command xrun -r -u alu, where alu is one of the units to execute. we are getting the following errors.1) xmsim: *E,DLMKDF: Unable to add default DEFINE std /home/xxxx/Cad/xcelium/tools/inca/files/STD. xmsim: *E,DLMKDF: Unable to add default DEFINE synopsys /home/xxxx/Cad/xcelium/tools/inca/files/SYNOPSYS 2) xmsim: *W,DLNOHV: Unable to find an 'hdl.var' file to load in. What is the purpose of hdl.var3) xmsim: *F,NOSNAP: Snapshot 'alu' does not exist in the libraries. I cannot see in log files, which libraries is it referring to?? Any one request you to help on how to debug these. Full Article
co Xcelium: dump coverage information in the middle of a simulation By community.cadence.com Published On :: Fri, 23 Aug 2024 10:25:15 GMT Hi, I'm using the xcelium simulator to simulate a testbench, in which I first stimulate my design to do something (part "A") and then do a direct follow-up test on the design (part "B"). I need two things from this testbench: the results of the test (part "B", passed/failed) and coverage information, but the coverage information should only include part A and explicitly not part B. I could do the following: run the testbench with part A and B, get the "passed/failed" result of the test and then follow up another simulator run with another testbench, that only includes part A and get the coverage information from that simulation run. Is there a way to force xcelium to give me the coverage information of only a part of the simulation? Ideally, I would like to write the verilog code of my testbench to look something like this: do A dump coverage information do B But maybe there is another way to tell xcelium to consider only part of the testbench for the coverage information. I did have a look at the manual, but was not able to find something useful for this problem. Any ideas? Full Article
co Collecting Coverage using Vmanager By community.cadence.com Published On :: Mon, 02 Sep 2024 16:13:36 GMT Hi, I am running a regression in order to collect the coverage. However I have an issue. I am setting a signal to 0 when reset is de-asserted then this signal takes a fixed value when the reset is asserted. if(!rst_n) init_val= 'b0; else init_val= 31'h34013FF7 the issue is that I got 0% coverage for the init_value since we only have a rising edge and the signal is not toggling during the simulation. is there an option to collect coverage when there is a rising edge or a falling edge? Full Article
co Using vManager to identify line coverage from a specific test By community.cadence.com Published On :: Tue, 24 Sep 2024 21:20:52 GMT I have been using the rank feature to identify tests that are redundant in our environment, but then I realized I'd also like to be able to see exactly what coverage goes into increasing the delta_cov value for a given test. If I had a test in my rank report that contributed 0.5% of the delta_cov, how could I got about seeing exactly where that 0.5% was coming from? It seems like that might be part of the correlate function, but I couldn't mange to find a way to see what specific coverage was being contributed for a given test. Full Article
co Auto-Coloring Waves in Simvision? By community.cadence.com Published On :: Wed, 25 Sep 2024 22:09:53 GMT Hello, First, I had something working that broke in the past few versions that I've been meaning to get working again. There was some setting I recall in the GUI that allowed me to have inputs be placed in the waveform viewer with yellow traces, and output signals with orange traces to match the name colors. How can I set this to happen in the .simvisionrc file? Second, I would like to add something to my .simvisionrc file to go through foreach signal and depending on key locations based on the signal's Path.Name (mainly the model and design areas) such that if the path contains "mon", then to auto-set the trace and name colors to something such as cyan. I'd like to have loops for various key areas of the design to color-code the signals. Third, I am interested if there is a possibility of coloring names/traces foregound colors to based on which position they are in the waveform viewer to make banding, ideally such that every three (or whatever) are one color (or a color mutation, adding some gray to signals colorized by the auto-coloring mentioned already, etc) that allows for the signal names/traces to be colorized along with the built-in optional black/gray background banding. Thanks in advance Full Article
co Using "add net constraints" command in Conformal By community.cadence.com Published On :: Thu, 01 Mar 2007 08:37:14 GMT Hi I have tried using "add net constraints" command to place one-cold constraints on a tristate enable bus. In the command line we need to specify the "net pathname" on which the constraints are to be enforced. The bus here is 20-bit. How should the net pathname be specified to make this 20-bit bus signals one_hot or one_cold. The bus was declared as follows: ten_bus [19:0] The command I used was add net constraints one_hot /ren_bus[19] What would the above command mean? Should we not specify all the nets' pathnames on the bus? Is it sufficient to specify the pathname of one net on the bus? I could not get much info regarding the functionality of this command. I would be obliged if anyone can throw some light. Thanks Prasad. Originally posted in cdnusers.org by anssprasad Full Article
co Cisco's utilities library donation By community.cadence.com Published On :: Fri, 18 May 2007 16:56:47 GMT Dear users, Cisco has graciously agreed to donate a library of several utilities packages to the e community. Please refer to the LIBRARY_README.txt for general information, and to each of the packages' PACKAGE_README.txt file for more information on each package. The tar file containing the utilities library is attached to this message. The zip file containing informational slides on Cisco's utility library packages is also attached. The zip file is 9 mg so may take a bit to download. The file is too big to fit on this post, so the unzipped files are posted in three separate entries below. For your convenience, we have also extracted the document “Directory Structure.doc” from the csco_base_env/docs location. Note: The library contains the csco_testflow package, adding phases to e's run phase. Cadence strongly encourages Customers to adopt the testflow phases feature that Cadence is releasing in Specman6.2. The new phases in e will be similar to the phases defined in the csco_testflow package, but will be a formal part of the e language. For more information please contact IPCM@cadence.com.Originally posted in cdnusers.org by meirav Full Article
co Posting code to the forum By community.cadence.com Published On :: Tue, 24 Jul 2007 14:01:07 GMT When posting code to the forums, copy from a text editor such as notepad, not from word or Outlook. Be sure to click the HTML tab BEFORE you paste your text. Click on the "html" mode tab on your "reply" dialog box. Then wrap your text with like this: pasted text NOTE: Do not put a space in the I have done that here so it will show up as text. Also, be sure to click the HTML tab BEFORE you paste your text. This is how it will look when coded correctly pasted text Originally posted in cdnusers.org by Administrator Full Article
co Item constraint macro By community.cadence.com Published On :: Wed, 31 Oct 2007 09:15:20 GMT The following macros encapsulates a design pattern that enables constraining data item fields by 'do' actions of a high level sequence. This can be done without presupposing anything about the sequence tree generated under the do-ing sequence.The tar file consists of 4 files:- item_constraint_macro.e - the 'item_constraint' and 'sequence_export' macros definition- item_constraint_example.e and sequence_export_example.e - use examples (one per each new construct)- packet_seq.e - an auxiliary definition file for the examplesThis topic was discussed in a Club-T presentation (Israel, Sophia-Antipolis, Munich). The presentation is also publicly avaiable.Originally posted in cdnusers.org by matanvax Full Article
co Welcome! Please use this forum to upload your code By community.cadence.com Published On :: Tue, 05 Aug 2008 21:01:43 GMT Please include a brief summary of how to use it. Full Article
co e-code: Macro example code for Team Specman blog post By community.cadence.com Published On :: Mon, 27 Apr 2009 07:11:19 GMT Hi everybody, The attached package is a tiny code example with a demo for an upcoming Team Specman blog post about writing macros. Hilmar Full Article
co IntelliGen Statistics Metrics Collection Utilility By community.cadence.com Published On :: Thu, 04 Jun 2009 16:24:28 GMT As noted in white papers, posts on the Team Specman Blog, and the Specman documentation, IntelliGen is a totally new stimulus generator than the original "Pgen" and, as a result, there is some amount of effort needed to migrate an existing verification environment to fully leverage the power of IntelliGen. One of the main steps in migrating code is running the linters on your code and adressing the issues highlighted. Included below is a simple utility you can include in your environment that allows you to collect some valuable statistics about your code base to allow you to better gauge the amount of work that might be required to migrate from Pgen to IntelliGen. The ICFS statistics reported are of particular benefit as the utility not only identifies the approximate number of ICFSs in the environment, it also breaks the total number down according to generation contexts (structs/units and gen-on-the-fly statements) allowing you to better focus your migration efforts. IMPORTANT: Sometimes a given environment can trigger a large number of IntelliGen linting messages right off the bat. Don't let this freak you out! This does not mean that migration will be a long effort as quite often some slight changes to an environment remove a large number of identified issues. I recently encountered a situation where a simple change to three locations in the environment, removed 500+ ICFSs!The methods included in the utility can be used to report information on the following:- Number of e modules - Number of lines in the environment (including blanks and comments)- Number and type of IntelliGen Guidelines linting messages- Number of Inconsistently Connected Field Sets (ICFSs)- Number of ICFS contexts and how many ICFSs per context- Number of soft..select overlays found in the envioronment- Number of Laces identified in the environmentTo use the code below, simply load it before/after loading e-code and then you can execute any of the following methods:- sys.print_file_stats() : prints # of lines and files - sys.print_constraint_stats() : prints # of constraints in the environment- sys.print_guideline_stats() : prints # of each type of linting message- sys.print_icfs_stats() : prints # of ICFSs, contexts and #ICFS/context- sys.print_soft_select_stats() : prints # of soft select overlay issues- sys.print_lace_stats() : *Only works for SPMNv6.2s4 and later* prints # of laces identified in the environmentEach of the above calls to methods produces it's own log files (stored in the current working directory) containing relevant information for more detailed analysis. - file_stats_log.elog : Output of "show modules" command- constraint_log.elog : Output of the "show constraint" command- guidelines_log.elog : Output of "gen lint -g" (with notification set to MAX_INT in order to get all warnings)- icfs_log.elog : Output of "gen lint -i" command- soft_select_log.elog: Output of the "gen lint -s" command- lace_log.elog : Output of the "show lace" commandHappy generating!Corey Goss Full Article
co ctags for e code, Vim compatible By community.cadence.com Published On :: Mon, 13 Jul 2009 17:56:07 GMT In a nutshell, tags allows you to navigate through program code distributed over multiple files effectively. e.g if you see a function call or a struct in e-code and want to "jump" to the definition (which may be in a different file) then you just hit CTRL+] in Vim! Pressing CTRL+t will take you back where you came from. Check out http://vim.wikia.com/wiki/Browsing_programs_with_tags#Using_tags if you want to learn more about how to use tags with Vim.This utility can generate tags file for your e files. It can either walk through e import order, a directory recursively or all directories on SPECMAN_PATH recursively! The tags file will have tags for struct, unit, types, events, defines, fields, variables, etc.For help and some examples, just run ctags4e -help. Full Article
co Creating cover items for sparse values/queue or define in specman By community.cadence.com Published On :: Fri, 12 Jul 2019 17:51:31 GMT Hello, I have a question I want to create a cover that consists a sparse values, pre-computed (a list or define) for example l = {1; 4; 7; 9; 2048; 700} I'd like to cover that data a (uint(bits:16)) had those values, Any suggestion on how to achieve this, I'd prefer to stay away from macros, and avoid to write a lot of code struct inst { data :uint(bits:16); opcode :uint(bits:16); !valid_data : list of uint(bits:16) = {0; 12; 10; 700; 890; 293;}; event data_e; event opcode_e; cover data_e is { item data using radix = HEX, ranges = { //I dont want to write all of this range([0], "My range1"); range([10], "My range2"); //... many values in between range([700], "My rangen"); }; item opcode; cross data, opcode; }; post_generate() is also { emit data_e; };}; Full Article
co e-code: Shareware RAM By community.cadence.com Published On :: Thu, 20 Apr 2023 02:17:26 GMT Modified version of shr_ram from erm_lib to support mvl_valuesregards: snaptube Full Article
co SI/PI Simulation and Measurement Correlation Forum By community.cadence.com Published On :: Thu, 28 Jul 2022 04:13:00 GMT Join this insightful on-demand webinar event "SI/PI Simulation and Measurement Correlation Forum" available through Signal Integrity Journal that features industry expert presentations ranging from chip to package to complex board designs.(read more) Full Article electromagnetics Power Integrity in-design analysis Signal Integrity
co X-FAB's Innovative Communication and Automotive Designs: Powered by Cadence EMX Planar 3D Solver By community.cadence.com Published On :: Sun, 31 Jul 2022 17:01:00 GMT Using the EMX solver, X-FAB design engineers can efficiently develop next-generation RF technology for the latest communication standards (including sub-6GHz 5G, mmWave, UWB, etc.), which are enabling technologies for communications and electric vehicle (EV) wireless applications. (read more) Full Article EM Analysis electromagnetics in-design analysis reference design Electromagnetic analysis PDK foundry
co Overcoming Thermal Challenges in Modern Electronic Design By community.cadence.com Published On :: Tue, 09 Aug 2022 14:24:00 GMT Melika Roshandell talks with David Malinak in a Microwaves & RF QuickChat video about the thermal challenges in today’s complex electronic designs and how the Celsius solver uniquely addresses them.(read more) Full Article 3D-IC in-design analysis Thermal Integrity Thermal Analysis electronic systems
co Clarity Encrypted Connectors! By community.cadence.com Published On :: Mon, 22 Aug 2022 00:25:00 GMT Cadence Clarity 3D Solver supports encrypted component models! Using this functionality, vendors can supply their 3D components, such as connectors, to end customers without revealing the physical IP of these designs. The first connector vendor to take advantage of this functionality is Japan Aviation Electronics (JAE),(read more) Full Article encryption in-design analysis connectors Electromagnetic analysis
co BoardSurfers: Managing Design Constraints Efficiently Using Constraint Sets By community.cadence.com Published On :: Wed, 07 Sep 2022 13:44:00 GMT A constraint is a user-defined property, or a rule, applied to a physical object, such as a net, pin, or via in a design. There are a number of constraints that can be applied to an object based on its type and behavior. For example, you can define t...(read more) Full Article PCB 17.4 BoardSurfers PCB Editor Constraint Manager 17.4-2019 PCB design Constraints Allegro PCB Editor Constraint Set Allegro
co Modern Thermal Analysis Overcomes Complex Electronic Design Issues By community.cadence.com Published On :: Tue, 13 Sep 2022 14:53:00 GMT By combining finite element analysis with computational fluid dynamics, designers can perform complete thermal system analysis using a single tool.(read more) Full Article in-design analysis Thermal Analysis electronic cooling
co BoardSurfers: Training Insights: What’s New in the Allegro PCB Editor Basic Techniques Course By community.cadence.com Published On :: Tue, 20 Sep 2022 14:32:00 GMT The Allegro PCB Editor Basic Techniques course provides all the essential training required to start working with Allegro® PCB Editor. The course covers all the design tasks, including padstack and symbol creation, logic import, constraints setup...(read more) Full Article digital badge 17.4 BoardSurfers symbol editor 3D Canvas 17.4-2019 PCB design Training Insights Allegro PCB Editor online training Allegro
co EVM and constellation of mixer By community.cadence.com Published On :: Wed, 05 Jun 2024 15:03:31 GMT Hello,I am trying to design an RF mixer for a TX.Assume my input IF signal is at 1 GHz, my LO at 2 GHz and I want my RF at 3 GHz, and assume that the mixer fully works after testing it with HB.How to simply set the envelope analysis to check the EVM ?I read through the documentation but I couldn't get it (I couldn't fully understand the settings of the source, probe, analysis.)Which source and probe to use? I want custom modulation with custom bandwidth and center frequency.Is there some examples for the ENVLP analysis ?I am using IC23.1 and spectre 20.1.354. Full Article
co Colpitts Oscillator output power simulation By community.cadence.com Published On :: Thu, 22 Aug 2024 08:44:20 GMT Hello everybody, As you can find in the attached image, I am trying to simulate a Colpitts oscillator. However, using pss analysis it shows a high output power. My question is where is the problem of my structure or simulation setup? Best, Full Article
co Cross-coupled oscillator Stability simulation By community.cadence.com Published On :: Thu, 10 Oct 2024 10:21:33 GMT Hello everyone, For my cross-coupled oscillator design, I have a problem with stability analysis. Based on my achieved results which are attached, where is my design problem? Best, https://ibb.co/bgKFP4N https://ibb.co/3FGRLmV https://ibb.co/pwSZDSF Full Article
co Virtuoso Meets Maxwell: Completing the Virtuoso RF Solution Assisted Flow By community.cadence.com Published On :: Tue, 16 Aug 2022 11:04:00 GMT In my last blog, Getting Your Existing SiP File Into Virtuoso RF, I talked about the new enhancements in ICADVM20.1 ISR25 for Virtuoso RF Solution. At the end of the blog, I told you about the Fully Assisted Roundtrip flow, which includes importing SiP files that are compatible with the Virtuoso RF Solution assisted import flow into the Virtuoso platform. Let's examine how the Fully Assisted Roundtrip flow works in this blog.(read more) Full Article Layout SiP Viltuoso MultiTech Framework Enablement GUI VRF Virtuoso Meets Maxwell Virtuoso RF Solution VMT Allegro Package Designer Plus Assisted Export System Design Environment fully assisted SiP Layout Option ICADVM20.1 Assisted Flows Assisted Import
co Virtuosity: Synergize with CLE - Work Concurrently Across Geographies By community.cadence.com Published On :: Mon, 29 Aug 2022 12:24:00 GMT Concurrent Layout Editing enables more than one designer to work in a hierarchy at the same time. Check out this blog to know more. (read more) Full Article concurrent layout editing Virtuoso Virtuosity CLE ICADVM20.1 Synergize with CLE
co How to set thru via hole to thru via hole spacing constraint? By community.cadence.com Published On :: Fri, 25 Oct 2024 14:42:45 GMT Is there a way to set a thru via hole to thru via hole spacing constraint? I need the hole to hole spacing, nit pad to pad spacing. I can calculate the spacing using the via pad diameter, but this won't work for multiple via pad sizes. Full Article
co Test point creation workflow recommendations? By community.cadence.com Published On :: Mon, 28 Oct 2024 12:08:17 GMT I am trying to figure out the most efficient workflow for adding test points. My use case involves adding ~100 or so SMT pads at the bottom for bed-of-nails ICT test that are required to be on a test point grid. A lot of the nets are on the top or from inner layers and so have to be brought to the bottom using stubs. I'm used to Xpediiton workflow of being able to set a test point padstack, set a test point grid, and then select a net, add the test point to the bottom layer on the grid with that net attached and then route the stub with gridless routing. In Orcad, it seems I need to route the stub, switch layer pairs to be both bottom once I bring the stub to the bottom and then change the grid to be the test point grid and then add the test point on the grid. It requires a lot of clicks, very mistake prone requiring lots of oops and very slow for 100+ test points to be brought out at the bottom. I'm sure there is a better way that is used by folks with a lot of Orcad experience. Any suggestions? Full Article
co Copy cline to solder mask layer By community.cadence.com Published On :: Fri, 01 Nov 2024 14:52:37 GMT I want to make an opening in the solder mask right above a trace that is acting like a guard ring. Do I really need to go and buy the Allegro Productivity Toolbox add-on for using the Cross-Copy tool for a basic operation like that?? /F Full Article
co Shape won't connect to pad By community.cadence.com Published On :: Mon, 04 Nov 2024 09:45:12 GMT I have a small shape for connecting three SMD pads, but it won't connect to one of the pads (0.2x0.6 mm). Thermal relief connects are set to Full contact for SMD pin in Shape parameters, but that doesn't help. However, if I decrease the Minimum aperture for gap width in Void controls in Shape parameters to something below 0.2 mm the shape connects to the pad. But it is a little contracted at the pad entrance. Just 0.002 mm. What is going on here? Tried to attach some pictures, but I get: "An error occurred. Please try again or contact your administrator.". Will try later again. /F Full Article
co AllegroX. ConstraintManager: how to define an exemption inside a SPACING RULE ? By community.cadence.com Published On :: Mon, 04 Nov 2024 13:02:18 GMT Hi I have fixed a SPACING RULE (SP1) for a CLASS_DIFF_PAIR whereas for via associated to the net (DP_VIA), the DISTANCE > 60mils respect to ANY other vias (PTH, BB, TEST vias) Now my problem is that this rules should NOT be applied for GND VIAS (STICHING VIA) which must be placed at a distance < 40mils respect to DP_VIA How to create an exemption to the SPACING RULE (SP1)? Full Article
co Allegro PCB Router quit unexpectedly with an exit code of -1073741701. Also, nothing is logged in log file. By community.cadence.com Published On :: Mon, 11 Nov 2024 14:30:58 GMT Has anyone experienced the same situation? Full Article
co Overcoming Mixed-Signal Design Challenges with Virtuoso Digital Implementation By community.cadence.com Published On :: Fri, 19 Jul 2024 22:44:00 GMT The world of electronics design thrives on efficient tools that bridge the gap between concept and silicon. Virtuoso Digital Implementation is a powerful ally for mixed-signal designs, which integrate both analog and digital components. This blog post will examine Virtuoso Digital Implementation's capabilities and explore how it can streamline your mixed-signal design workflow. Virtuoso Digital Implementation in a Nutshell Virtuoso Digital Implementation is a license package within the Cadence Virtuoso Design Platform. It offers a streamlined RTL-to-GDSII flow to implement smaller digital blocks within a mixed-signal design environment. Here's what makes Virtuoso Digital Implementation stand out: Focus on Small Digital Blocks: Optimized for digital blocks with an instance count of up to 50,000 (expandable to 150,000 with specific configurations), Virtuoso Digital Implementation is ideal for integrating digital logic into your analog-centric design. Leveraging Industry Leaders: Virtuoso Digital Implementation utilizes cut-down versions of the renowned Cadence Genus Synthesis Solution and Innovus Implementation System under the hood. This ensures you get access to proven technologies for logic optimization and place-and-route. Seamless Integration with the Virtuoso Environment: Virtuoso Digital Implementation's key advantage is its tight integration with the Virtuoso Layout Suite. You can launch the synthesis and place-and-route tools directly from the Virtuoso environment, eliminating the need to switch between platforms. Benefits of Using Virtuoso Digital Implementation By incorporating Virtuoso Digital Implementation into your mixed-signal design flow, you can get several benefits: Simplified Workflow: Virtuoso Digital Implementation offers a centralized environment for both digital block implementation and layout editing within the Virtuoso environment. This reduces context switching and streamlines the design process. Faster Time-to-Market: Virtuoso Digital Implementation's streamlined workflow can significantly reduce design turnaround times, allowing you to get your product to market quicker. Improved Design Quality: Leveraging industry-leading synthesis and place-and-route engines from Cadence ensures high-quality digital block implementation within your mixed-signal design. Who Should Consider Virtuoso Digital Implementation? Virtuoso Digital Implementation is a valuable tool for anyone working on mixed-signal designs with smaller digital blocks. It's particularly well-suited for: Analog IC designers who need to integrate digital logic into their designs. Circuit design teams working on mixed-signal applications like data converters, power management ICs, and RF transceivers. Virtuoso Digital Implementation provides a compelling solution for designers working on mixed-signal projects. Its streamlined workflow, tight integration with the Virtuoso design platform, and access to proven digital design tools can significantly improve design efficiency and time-to-market. Virtuoso Digital Implementation is worth considering if you're looking to optimize your mixed-signal design flow. I am here to help and guide you on how to learn more about Virtuoso Digital Implementation flow. Welcome to Virtuoso Digital Implementation, an online course recently released. This course teaches implementing digital blocks using Cadence tools based on the Virtuoso Digital Implementation flow. Also, you can download a lab database after the lecture and get hands-on experience in each stage. Want to Enroll in this Course? We organize this Virtuoso Digital Implementation training for you as a "Blended" or "Live" training. Please reach out to Cadence Training for further information. Register for the Online Training with the following steps: Log on to cadence.com with your registered Cadence ID and password. Select Learning from the menu > Online Courses. Search for Virtuoso Digital Implementation using the search bar. Select the course and click Enroll. And don't forget to obtain your Digital Badge after completing the training! Related Resources Online Courses Cadence RTL-to-GDSII Flow v6.0 Virtuoso Digital Implementation Training Training Byte Videos How Do You Run Placement Optimization in the Innovus Implementation System? How to Run the Synthesis Without DFT? How to Run the Synthesis Flow with DFT? Creating Power Rings, Power Stripes, and Power Rails in the Innovus Implementation System How to Run Power Analysis and Analyze the Results in Innovus? Happy Learning! Full Article Virtuoso Schematic Editor Low Power Silicon Signoff and Verification Virtuoso Digital Implementation RTL-to-GDSII Cadence training Virtuoso symbol Virtuoso Layout Suite Mixed Signal Designers
co Online Course: Start Learning About 3D-IC Technology By community.cadence.com Published On :: Mon, 29 Jul 2024 21:50:00 GMT Designing 3D-ICs with integrity involves a commitment to ethical practices, reliability, and sustainability throughout the design and manufacturing process. This includes using environmentally friendly materials, ensuring robust and efficient performance, and incorporating thorough testing and verification. By prioritizing transparency, responsibility, and long-term sustainability, designers can create advanced integrated circuits that meet high standards of quality and social responsibility. Start Learning Now! Start with our Designing with Integrity 3D-IC online course, which introduces Integrity 3D-IC, the industry's first comprehensive, high-capacity 3D-IC platform that integrates 3D design planning, implementation, and system analysis in a single, unified environment. You will be guided through the following activities involved in designing a silicon interposer with a digital ASIC and HBM2 interface in a 2.5D configuration. You will design the interposer from scratch in the new Integrity System Planner and the Integrity 3D-IC implementation environment. You will examine the ASIC and interposer designs using some of the new 3D-IC multi-die design features. You will route the interposer using some of the new advanced routing capabilities with NanoRoute —and this in only two days! WATCH VIDEO Interested? Get an overview in less than two minutes. Are you primarily interested in selected snippets instead? Then, take our Training Bytes, which—like the online training course—are available to Cadence customers for free 24/7 in the Cadence Learning and Support portal. Cadence Training Services now offers free Digital Badges for all popular online training courses. These badges indicate proficiency in a certain technology or skill and give you a way to validate your expertise to managers and potential employers. You can add the digital badge to your email signature or any social media channels, such as Facebook or LinkedIn, to highlight your expertise. To find out more, see the blog post. It’s the Digital Era; Why Not Showcase Your Brand Through a Digital Badge! Related Resources 3D-IC Introduction (Video) 3D-IC Development Process With Challenges. (Video) Demo: How to Create the Interposer Contact Pads and Die Connectivity Using the Integrity 3D-IC? (Video) Demo: How To Pull The Interposer Design From System Planner Into Integrity 3D-IC Layout? (Video) Demo: Routing The Interposer Design Using The Integrity 3D-IC Layout_Part 1 (Video) Demo: Routing The Interposer Design Using The Integrity 3D-IC Layout_Part 2 (Video) Demo: How To Create C4 Bumps For NC Connections And Generating C4 Dummy Cover Bumps In Integrity 3D-IC? (Video) Related Blogs How Cadence Is Expanding Innovation for 3D-IC Design Training Bytes: They May Be Shorter, But the Impact Is Stronger! Training Insights — 3D-IC: What Is Silicon Interposer? System Analysis Knowledge Bytes: What’s New in the Clarity 3D Solver Course System Analysis Knowledge Bytes - Early System-Level Thermal Analysis 3D-IC: The Future of Integrated Electronics Is the Future of Electronics Itself Related Trainings OrbitIO System Planner Allegro Package Designer Plus Full Article Integrity 3D-IC Platform 3D-IC 2.5DiC Digital Implementation Innovus moore's law 3D-IC Technology heterogenous integration Allegro system planner
co Unlocking the Concepts of IEEE 1801 Standard for Efficient Power Management By community.cadence.com Published On :: Tue, 10 Sep 2024 05:53:00 GMT Power efficiency is a critical factor in the fast-evolving world of semiconductor design. The IEEE 1801 standard, also known as UPF (Unified Power Format), was developed by the IEEE to address the intricate challenges associated with power management in contemporary semiconductor designs. This standard offers a uniform framework for defining power domains, power states, and power intent, ensuring consistency across diverse tools and phases of the design process. By utilizing UPF, you can precisely model and regulate power consumption, a critical aspect for battery-operated devices, high-performance computing, and energy-efficient designs. The key concepts of IEEE 1801 are: Power domains Power states Power gating and isolation Power switches Level shifters, isolation, and retention cells Macro model Based on these building blocks, you write the power intent of the design. The power intent for the design includes identifying/implementing low-power strategies that provide a clear description of the power architecture of a design. The power definitions can effectively manage power consumption and ensure the chip meets its power and performance requirements. You can start by creating the Power Supply Network, which defines how power is supplied to the design's various power domains and logic cells. What's the next step to build the file? How do you understand the various concepts related to IEEE 1801? How do you complete the rest of the power intent file? Relax! Gear up to attend the training class created just for you to dive deep into the entire format and explore this exciting power specification method/format with hands-on labs in one day! Training Fundamentals of IEEE 1801 Low-Power Specification Format Training This course is a complete tutorial for understanding the fundamentals of IEEE 1801 low-power specification format concepts. You learn about IEEE 1801 power supply networks, ground ports and nets, creating and connecting supply ports/nets, power domain, power switch, power states, defining isolation and level shifter strategies, hierarchical IEEE 1801, and various versions of the IEEE 1801. You also explore how power intent information can be used for a design across various flow stages, such as functional verification, synthesis, logic equivalency checking, place-and-route, test, timing signoff, power integrity, and so forth, using Cadence® tools. Labs We ensure that your learning journey is smooth with hands-on labs covering various design scenarios. Lab Videos Now, the exciting part is that to help you further, we have created engaging videos of the training labs. You can refer to the lab module's instructions in demo format at https://support.cadence.com. Lab Demo: Checking Power Supply Network in IEEE 1801 format and Running IEEE 1801 Quality Checks using Conformal Low Power Lab Demo: Checking Power Intent for The Macro Connections in IEEE 1801 Format And Running IEEE 1801 Quality Checks using Conformal Low Power Online Class Here is the course link. Get ready for the most thrilling experience with Accelerated Learning! The more you know, the faster you go! Grab the cycle or hike it, based on your existing knowledge. Take the quiz and increase your learning pace!! What's Next? Grab your Badge after finishing the training and flaunt the expertise you have built up. 😊 Ready to take a tour of this power specification world? Let's help you enroll in this course. We organize this training for you as a "Blended" or "Live" training. Please reach out to Cadence Training for further information. If you want to ensure you are always the first to know about anything new in training, you can use the SUBSCRIBE button on the landing page to sign up for our regular training newsletters. Related Short Training Bytes/Videos Enhance the learning experience with short videos: Genus Synthesis Solution: Video Library Joules RTL Power Solution: Video Library Related Training Low-Power Synthesis Flow with Genus Synthesis Solution Genus Low-Power Synthesis Flow with IEEE 1801 Related Blogs It's the Digital Era; Why Not Showcase Your Brand Through a Digital Badge! - Digital Design - Cadence Blogs - Cadence Community Relax in Summer with Cooler IC chips and Ice-Cream! Do you want to Explore the Recipe? - Digital Design - Cadence Blogs - Cadence Community Power Is HOT and Touches Everything and Everybody! But the Challenge Is To Deal With Low Power During Design Synthesis; How? - Digital Design - Cadence Blogs - Cadence Community Binge on Chip Design Concepts this Weekend! - Digital Design - Cadence Blogs - Cadence Community Full Article Low Power IEEE 1801 training training bytes UPF Power Analysis