out Constraining some nets to route through a specific metal layer, and changing some pin/cell placements and wire directions in Cadence Innovus. By community.cadence.com Published On :: Fri, 03 Feb 2023 22:13:10 GMT Hello All: I am looking for help on the following, as I am new to Cadence tools [I have to use Cadence Innovus for Physical Design after Logic Synthesis using Synopsys Design Compiler, using Nangate 45 nm Open Cell Library]: while using Cadence Innovus, I would need to select a few specific nets to be routed through a specific metal layer. How can I do this on Innovus [are there any command(s)]? Also, would writing and sourcing a .tcl script [containing the command(s)] on the Innovus terminal after the Placement Stage of Physical Design be fine for this? Secondly, is there a way in Innovus to manipulate layout components, such as changing some pin placements, wire directions (say for example, wire direction changed to facing east from west, etc.) or moving specific closely placed cells around (without violating timing constraints of course) using any command(s)/.tcl script? If so, would pin placement changes and constraining some closely placed cells to be moved apart be done after Floorplanning/Powerplanning (that is, prior to Placement) and the wire direction changes be done after Routing? While making the necessary changes, could I use the usual Innovus commands to perform Physical Design of the remaining nets/wires/pins/cells, etc., or would anything need modification for the remaining components as well? I would finally need to dump the entire design containing all of this in a .def file. I tried looking up but could only find matter on Virtuoso and SKILL scripting, but I'd be using Innovus GUI/terminal with Nangate 45 nm Open Cell Library. I know this is a lot, but I would greatly appreciate your help. Thanks in advance. Riya Full Article
out Instance of standard cell does not have layout? By community.cadence.com Published On :: Sat, 04 Feb 2023 00:56:55 GMT Hi, I have synthesized a verilog code. When performing the pnr in innovus it is showing the error "Instance g5891__718 (similar for other) of the cell AND2_X6 has no physical library or has wrong dimension values (<=0). Check your design setup to make sure the physical library is loaded in and attribute specified in library are correct. When importing synthesized netlist in virtuoso then it says " Module AND2_X6, instantiated in the top module decoder, is not defined. Therefore the top module decoder will be imported as functional." Please help what's going on here? Full Article
out BoardSurfers: Optimizing RF Routing and Impedance Using Allegro X PCB Editor By community.cadence.com Published On :: Thu, 18 Jul 2024 21:15:00 GMT Achieving optimal power transfer in RF PCBs hinges on meticulously routed traces that meet specific impedance requirements. Impedance matching is essential to ensure that traces have the same impedance to prevent signal reflection and inefficient pow...(read more) Full Article RF PCB Routing Allegro X PCB Editor BoardSurfers RF design PCB design shapes allegro x
out Find Routing problem (Route Vision) and quickly to fix these problems By community.cadence.com Published On :: Mon, 18 Mar 2024 03:45:55 GMT The vision manager is good tool for routing check. but no quickly or effective tool to fix or optimize this problems to be optimized. For example, parallel Gap less than preferred, min seg/Arc length,uncoupled diff-pair segs,and so on. I only know use spread between voids to fix the non-optimized segs. in fact it is inefficient. the parallel gap less than preferred is only to slice evry trace, its inefficient. If i set the paraller gap less than 50um, Is there any tool to quickly fix these problems(gap less than 50um)? For other problems,i can use tool to quickly fix the min seg/Arc length,uncoupled diff pair segs,accoding to select by polygon or select by windows. Full Article
out Using troubles about LT4417 By community.cadence.com Published On :: Mon, 26 Jun 2017 09:07:10 GMT Hello~ As the following circuit shows, VCC+5V_USB is the 4th power source, connecting the output of power management of diode.There are 3 5V input in the input port of LTC4417. It’s normal when VCC+5V_USB prodive power with other circuit. However, if I cup VCC+5V_FIRST,VCC+5V_SECOND,VCC+5V_THIRD, 5V voltage will occurred in the VCC+5V_FIRST,VCC+5V_SECOND,VCC+5V_THIRD. The LTC4417 PDF Is this phenomance normal ? Please kindly give me some advice ! Thanks. Full Article
out 17.4 Design Sync Fails without providing errors By community.cadence.com Published On :: Tue, 14 Dec 2021 14:06:09 GMT As the title suggests I am unable to perform design sync between OrCAD Capture and Allegro. When I add a layout and try to sync to it I am given ERROR(ORCAP-2426): Cannot run Design Sync because of errors. See session log for error details. Session Log [ORPCBFLOW] : Invoking ECO dialog.INFO(ORNET-1176): Netlisting the designINFO(ORNET-1178): Design Name:C:USERSDDOYLEDOCUMENTSCADENCEBOARDSREMOTE POWER DEVICECAPTUREREMOTE_POWER_DEVICE.DSNNetlist Directory:c:usersddoyledocumentscadenceoards emote power devicelayoutallegroConfiguration File:C:CadenceSPB_17.4 ools/capture/allegro.cfgpstswp.exe - pst - d "C:USERSDDOYLEDOCUMENTSCADENCEBOARDSREMOTE POWER DEVICECAPTUREREMOTE_POWER_DEVICE.DSN"- n "c:usersddoyledocumentscadenceoards emote power devicelayoutallegro" - c "C:CadenceSPB_17.4 ools/capture/allegro.cfg" - v 3 - l 31 - s "" - j "PCB Footprint" - hpath "HPathForCollision"Spawning... pstswp.exe - pst - d "C:USERSDDOYLEDOCUMENTSCADENCEBOARDSREMOTE POWER DEVICECAPTUREREMOTE_POWER_DEVICE.DSN"- n "c:usersddoyledocumentscadenceoards emote power devicelayoutallegro" - c "C:CadenceSPB_17.4 ools/capture/allegro.cfg" - v 3 - l 31 - s "" - j "PCB Footprint" - hpath "HPathForCollision"{ Using PSTWRITER 17.4.0 d001Dec-14-2021 at 09:00:49 } INFO(ORCAP-36080): Scanning netlist files ... Loading... c:usersddoyledocumentscadenceoards emote power devicelayoutallegropstchip.dat Loading... c:usersddoyledocumentscadenceoards emote power devicelayoutallegropstchip.dat Loading... c:usersddoyledocumentscadenceoards emote power devicelayoutallegropstxprt.dat Loading... c:usersddoyledocumentscadenceoards emote power devicelayoutallegropstxnet.datpackaging the design view...Exiting... pstswp.exe - pst - d "C:USERSDDOYLEDOCUMENTSCADENCEBOARDSREMOTE POWER DEVICECAPTUREREMOTE_POWER_DEVICE.DSN"- n "c:usersddoyledocumentscadenceoards emote power devicelayoutallegro" - c "C:CadenceSPB_17.4 ools/capture/allegro.cfg" - v 3 - l 31 - s "" - j "PCB Footprint" - hpath "HPathForCollision"INFO(ORNET-1179): *** Done *** This issue started to occur after I changed parts that exist on previously created PCBs. I changed the following leading up to this: 1. Added height in Allegro to many of my components using the Setup->Area->Package Height tool. 2. Changed the reference designator category in OrCAD Capture to TP for several components on board. Any advice here would be most welcome. Thanks! Full Article
out Migrating from files Orcad Layout 16.2 By community.cadence.com Published On :: Wed, 15 Dec 2021 02:55:48 GMT I have managed to convert our old schematic and PCD file to from Layout 16.2 to 17.4 I have exported the footprints and moved them to the correct lib directory. I get no DRC errors and I can build a new netlist file. The problem is I can't get the PCB editor to update using the new netlist and get the following error: I cannot figure out how to fix the Name is too long error. (---------------------------------------------------------------------) ( ) ( Allegro Netrev Import Logic ) ( ) ( Drawing : 70055R2.brd ) ( Software Version : 17.4S023 ) ( Date/Time : Tue Dec 14 18:54:25 2021 ) ( ) (---------------------------------------------------------------------) ------ Directives ------------ Ripup etch: Yes Ripup delete first segment: No Ripup retain bondwire: No Ripup symbols: IfSame Missing symbol has error: No DRC update: Yes Schematic directory: 'C:/AFS/70055 PCB Test 2' Design Directory: 'C:/AFS/70055 PCB Test 2' Old design name: 'C:/AFS/70055 PCB Test 2/70055R2.brd' New design name: 'C:/AFS/70055 PCB Test 2/70055R2.brd' CmdLine: netrev -$ -i C:/AFS/70055 PCB Test 2 -x -u -t -y 2 -h -z -q netrev_constraint_report.xml C:/AFS/70055 PCB Test 2/#Taaaaae57776.tmp ------ Preparing to read pst files ------ Starting to read C:/AFS/70055 PCB Test 2/pstchip.dat Finished reading C:/AFS/70055 PCB Test 2/pstchip.dat (00:00:00.02) Starting to read C:/AFS/70055 PCB Test 2/pstxprt.dat Finished reading C:/AFS/70055 PCB Test 2/pstxprt.dat (00:00:00.00) Starting to read C:/AFS/70055 PCB Test 2/pstxnet.dat Finished reading C:/AFS/70055 PCB Test 2/pstxnet.dat (00:00:00.00) ------ Oversights/Warnings/Errors ------ #1 ERROR(SPMHNI-176): Device library error detected. ERROR(SPMHNI-189): Problems with the name of device 'SW DPDT_9_SWITCH_OTTO_ALT_SW DPDT': 'Name is too long.'. ERROR(SPMHNI-170): Device 'SW DPDT_9_SWITCH_OTTO_ALT_SW DP' has library errors. Unable to transfer to Allegro. #2 ERROR(SPMHNI-176): Device library error detected. ERROR(SPMHNI-189): Problems with the name of device 'SW DPDT_10_SWITCH_OTTO_LIGHTS_SW DPDT': 'Name is too long.'. ERROR(SPMHNI-170): Device 'SW DPDT_10_SWITCH_OTTO_LIGHTS_S' has library errors. Unable to transfer to Allegro. #3 ERROR(SPMHNI-176): Device library error detected. ERROR(SPMHNI-189): Problems with the name of device 'SW DPDT_7_SWITCH_OTTO_ALT_SW DPDT': 'Name is too long.'. ERROR(SPMHNI-170): Device 'SW DPDT_7_SWITCH_OTTO_ALT_SW DP' has library errors. Unable to transfer to Allegro. #4 ERROR(SPMHNI-176): Device library error detected. ERROR(SPMHNI-189): Problems with the name of device 'SW DPDT_3_SWITCH_OTTO_MASTER_SW DPDT': 'Name is too long.'. ERROR(SPMHNI-170): Device 'SW DPDT_3_SWITCH_OTTO_MASTER_SW' has library errors. Unable to transfer to Allegro. #5 ERROR(SPMHNI-176): Device library error detected. ERROR(SPMHNI-189): Problems with the name of device 'SW DPDT_6_SWITCH_OTTO_LIGHTS_SW DPDT': 'Name is too long.'. ERROR(SPMHNI-170): Device 'SW DPDT_6_SWITCH_OTTO_LIGHTS_SW' has library errors. Unable to transfer to Allegro. #6 ERROR(SPMHNI-176): Device library error detected. ERROR(SPMHNI-189): Problems with the name of device 'SW DPDT_3_SWITCH_OTTO_MASTER_DPDT': 'Name is too long.'. ERROR(SPMHNI-170): Device 'SW DPDT_3_SWITCH_OTTO_MASTER_DP' has library errors. Unable to transfer to Allegro. #7 ERROR(SPMHNI-176): Device library error detected. ERROR(SPMHNI-189): Problems with the name of device 'CONNECTOR DB15_DSUBVPTM15_CONNECTOR DB15': 'Name is too long.'. ERROR(SPMHNI-170): Device 'CONNECTOR DB15_DSUBVPTM15_CONNE' has library errors. Unable to transfer to Allegro. #8 ERROR(SPMHNI-176): Device library error detected. ERROR(SPMHNI-189): Problems with the name of device 'CONNECTOR DB9_DSUBVPTM9_CONNECTOR DB9': 'Name is too long.'. ERROR(SPMHNI-170): Device 'CONNECTOR DB9_DSUBVPTM9_CONNECT' has library errors. Unable to transfer to Allegro. #9 ERROR(SPMHNI-175): Netrev error detected. ERROR(SPMHDB-195): Error processing 'M6': Text line is outside of the extents.. ------ Library Paths ------ MODULEPATH = . C:/Cadence/SPB_17.4/share/local/pcb/modules PSMPATH = . symbols .. ../symbols C:/Cadence/SPB_17.4/share/local/pcb/symbols C:/Cadence/SPB_17.4/share/pcb/pcb_lib/symbols C:/Cadence/SPB_17.4/share/pcb/allegrolib/symbols C:/Cadence/SPB_17.4/share/pcb/pcb_lib/symbols PADPATH = . symbols .. ../symbols C:/Cadence/SPB_17.4/share/local/pcb/padstacks C:/Cadence/SPB_17.4/share/pcb/pcb_lib/symbols C:/Cadence/SPB_17.4/share/pcb/allegrolib/symbols C:/Cadence/SPB_17.4/share/pcb/pcb_lib/symbols ------ Summary Statistics ------ #10 Run stopped because errors were detected netrev run on Dec 14 18:54:25 2021 DESIGN NAME : '70055R2' PACKAGING ON Nov 2 2021 14:32:04 COMPILE 'logic' CHECK_PIN_NAMES OFF CROSS_REFERENCE OFF FEEDBACK OFF INCREMENTAL OFF INTERFACE_TYPE PHYSICAL MAX_ERRORS 500 MERGE_MINIMUM 5 NET_NAME_CHARS '#%&()*+-./:=>?@[]^_`|' NET_NAME_LENGTH 24 OVERSIGHTS ON REPLACE_CHECK OFF SINGLE_NODE_NETS ON SPLIT_MINIMUM 0 SUPPRESS 20 WARNINGS ON 10 errors detected No oversight detected No warning detected cpu time 0:00:27 elapsed time 0:00:00 Full Article
out Noise summary data per sub-block in Maestro output expressions By community.cadence.com Published On :: Tue, 22 Oct 2024 21:56:24 GMT Hi, I have a question about printing noise summary via maestro output expressions. How can I print noise data using output expressions, for multiple levels of the hierarchy? I have found this article which describe the procedure using ocnGenNoiseSummary() function: https://support.cadence.com/apex/ArticleAttachmentPortal?id=a1Od0000007MViHEAW&pageName=ArticleContent I see also Andrew Beckett referring to the above mentioned article as a solution to a similar question: community.cadence.com/.../noise-summary-per-instance However, this seems to work only if I'm to extract noise data from a single level of hierarchy. If I have the output expression "ocnGenNoiseSummary(2 ?result 'hbnoise)", it will generate a "noisesummary" directory under results directory for a hierarchy level of 2. If I am to extract data from various hierarchy levels, I should be able to generate multiple noise summary directories, such as noisesummary1, noisesummary2 where they correspond to "ocnGenNoiseSummary(1 ?result 'hbnoise)" & "ocnGenNoiseSummary(2 ?result 'hbnoise)", respectively. However this does not seem to be possible. Can you please advice? Thanks. My Cadence version: IC23.1-64b.ISR7.27 BR, Denizhan Karaca Full Article
out Display Resource Editor: Different Colors for Schematic and Layout Axis By community.cadence.com Published On :: Wed, 23 Oct 2024 06:30:07 GMT Hi In the environment I'm currently working, axes are shown for schematic, symbol, and layout views.For schematics and symbols, I'd prefer a dim gray, such that the axes are just visible but not dominant. For the layout, I'd prefer a brighter color. Is there a way to realize this? So far when I change the color of the 'axis' layer in the display resource editor, the axes in all three views get changed together: Thanks very much for your input! Full Article
out How can I place stacked vias with the size exact same cut width without metals around? By community.cadence.com Published On :: Wed, 30 Oct 2024 12:40:16 GMT How can I place stacked vias with the size exact same cut width without metals around?As the red part only in the image below? Full Article
out Force virtuoso (Layout XL) to NOT create warning markers in design By community.cadence.com Published On :: Sat, 09 Nov 2024 08:54:31 GMT Hi I have a rather strange question - is there a way to tell layout XL to NOT place the error/warning markers on a design when I open a cell? I do a lot of my layout by using arrays from placed instances and create mosaics that completely ignore the metadata that Layout XL uses with its bindings with schematic (and instances get deleted etc. but I do like using it to generate all my pins etc.) and it's just really annoying when I open a design that I know is LVS clean and since the connectivity metadata is all screwed up (because I did not use it to actually complete the layout) I have a design that's just blinking at me at every gate, source and drain. I typically delete them at the high level heirarchically but the second I go in and modify something and come back up it places all of them again. I know that if I flatten all the p cells it goes away but sometimes it's nice to have that piece of metadata but that's about it. Is there a way to "break" the features of XL like this? I realize what a weird question this is but it's becoming more of an issue since we moved to IC 23 from IC 6 where there is no longer a layout L that I can use free from these annoyances that can't use any of the connectivity metadata. Thanks Chris Full Article
out incorrect output of multiplication in jaspergold By community.cadence.com Published On :: Fri, 16 Feb 2024 10:02:22 GMT I want to use jaspergold to formally verify functionality of my custom multiplier. I am computing the expected result using A*B to check against output of my multiplier. Here, A and B are two logic signed operands. However, jaspergold is performing the operation A*B incorrectly. I have reproduced this issue using the attached example. JasperGold compiles and elaborates the module and subsequently runs a formal proof. The tool raises a counterexample to assertion whose screenshot is attached below: I simulated the same example using xrun and it was giving the correct product output in simvision waveform. Please help me resolve this issue. I am using 2023.03 version of Jasper Apps. Thanks and regards Anubhav Agarwal Full Article
out BoardSurfers: Training Insights: User Interface Enhancements for Allegro Layout Editors By community.cadence.com Published On :: Fri, 19 Aug 2022 12:03:00 GMT If you have seen any images or demonstrations of the 17.4-2019 release, the GUI may look ...(read more) Full Article digital badge 17.4 BoardSurfers 17.4-2019 Training Insights Allegro PCB Editor online training Allegro
out Strmount failed in streaming out cell By community.cadence.com Published On :: Thu, 15 Feb 2024 12:22:58 GMT Hi, I would be grateful if you can help me with this error which I get after trying to run an EMX simulation on a PCELL. I've found very limited information in this forum. Thanks Full Article
out Colpitts Oscillator output power simulation By community.cadence.com Published On :: Thu, 22 Aug 2024 08:44:20 GMT Hello everybody, As you can find in the attached image, I am trying to simulate a Colpitts oscillator. However, using pss analysis it shows a high output power. My question is where is the problem of my structure or simulation setup? Best, Full Article
out Knowledge Booster Training Bytes - Virtuoso Pin-To-Trunk Routing By community.cadence.com Published On :: Wed, 28 Sep 2022 08:40:00 GMT This blog helps in demonstrating the use of Pin to trunk routing style which helps in enhancing the layout experience.(read more) Full Article custom/analog Virtuoso Space-based Router VSR cadence Routing Automated Device-Level Placement and Routing Rapid Adoption Kit analog training Layout Suite Cadence training digital badges Layout Virtuoso cadenceblogs ICADVM20.1 Cadence Education Services Custom IC Design online training RAKs Virtuoso Layout Suite Custom IC IC6.1.8 Virtuoso Layout Suite XL
out Prevent routing on adjacent layers without affecting pour By community.cadence.com Published On :: Wed, 30 Oct 2024 11:20:19 GMT Hello, I have a sensitive trace on layer 2 and I would like to prevent any routing along or across it on adjacent layers (L1 and L3). My idea was to use a route keepout shape on L1 and L3, however that also removed the ground pour on those layers and I would like to keep the ground pour. Can I get around this somehow or should I use something else than route keepout? Regards, Filip Full Article
out exporting a modified symbol out By community.cadence.com Published On :: Thu, 07 Nov 2024 02:46:42 GMT hello: i place a symbol into my design. on my design, i change the symbol property by unlocking the symbol and unfixing pins so that i can move pins on the symbol. i move some pins on my design. but when i export the symbol from my design, the symbol is not current but has the original pin location. is there a way to retain the pin locations after moving pins on a symbol when exporting the symbol? regards masa Full Article
out How to perform the EMI / EMC analysis on the PCB layout By community.cadence.com Published On :: Sun, 10 Nov 2024 14:44:43 GMT Hai Community, I have a PCB board which has multiple high speed nets and I want to perform the EMI and EMC checking. Which Cadence tool should I use for checking the EMI and EMC coupling? Regards, Rohit Rohan Full Article
out Allegro PCB Router quit unexpectedly with an exit code of -1073741701. Also, nothing is logged in log file. By community.cadence.com Published On :: Mon, 11 Nov 2024 14:30:58 GMT Has anyone experienced the same situation? Full Article
out Online Course: Start Learning About 3D-IC Technology By community.cadence.com Published On :: Mon, 29 Jul 2024 21:50:00 GMT Designing 3D-ICs with integrity involves a commitment to ethical practices, reliability, and sustainability throughout the design and manufacturing process. This includes using environmentally friendly materials, ensuring robust and efficient performance, and incorporating thorough testing and verification. By prioritizing transparency, responsibility, and long-term sustainability, designers can create advanced integrated circuits that meet high standards of quality and social responsibility. Start Learning Now! Start with our Designing with Integrity 3D-IC online course, which introduces Integrity 3D-IC, the industry's first comprehensive, high-capacity 3D-IC platform that integrates 3D design planning, implementation, and system analysis in a single, unified environment. You will be guided through the following activities involved in designing a silicon interposer with a digital ASIC and HBM2 interface in a 2.5D configuration. You will design the interposer from scratch in the new Integrity System Planner and the Integrity 3D-IC implementation environment. You will examine the ASIC and interposer designs using some of the new 3D-IC multi-die design features. You will route the interposer using some of the new advanced routing capabilities with NanoRoute —and this in only two days! WATCH VIDEO Interested? Get an overview in less than two minutes. Are you primarily interested in selected snippets instead? Then, take our Training Bytes, which—like the online training course—are available to Cadence customers for free 24/7 in the Cadence Learning and Support portal. Cadence Training Services now offers free Digital Badges for all popular online training courses. These badges indicate proficiency in a certain technology or skill and give you a way to validate your expertise to managers and potential employers. You can add the digital badge to your email signature or any social media channels, such as Facebook or LinkedIn, to highlight your expertise. To find out more, see the blog post. It’s the Digital Era; Why Not Showcase Your Brand Through a Digital Badge! Related Resources 3D-IC Introduction (Video) 3D-IC Development Process With Challenges. (Video) Demo: How to Create the Interposer Contact Pads and Die Connectivity Using the Integrity 3D-IC? (Video) Demo: How To Pull The Interposer Design From System Planner Into Integrity 3D-IC Layout? (Video) Demo: Routing The Interposer Design Using The Integrity 3D-IC Layout_Part 1 (Video) Demo: Routing The Interposer Design Using The Integrity 3D-IC Layout_Part 2 (Video) Demo: How To Create C4 Bumps For NC Connections And Generating C4 Dummy Cover Bumps In Integrity 3D-IC? (Video) Related Blogs How Cadence Is Expanding Innovation for 3D-IC Design Training Bytes: They May Be Shorter, But the Impact Is Stronger! Training Insights — 3D-IC: What Is Silicon Interposer? System Analysis Knowledge Bytes: What’s New in the Clarity 3D Solver Course System Analysis Knowledge Bytes - Early System-Level Thermal Analysis 3D-IC: The Future of Integrated Electronics Is the Future of Electronics Itself Related Trainings OrbitIO System Planner Allegro Package Designer Plus Full Article Integrity 3D-IC Platform 3D-IC 2.5DiC Digital Implementation Innovus moore's law 3D-IC Technology heterogenous integration Allegro system planner
out Mayor outlines Warsaw's winning formula By master-7rqtwti-2nwxk3tn3ebiq.eu-2.platformsh.site Published On :: Tue, 25 Feb 2020 13:12:30 +0000 Warsaw already offers a skilled workforce and has improved its infrastructure – now it must focus on climate change and reducing congestion, mayor Rafał Trzaskowski tells fDi. Full Article
out Tokyo world’s most talked about city online By master-7rqtwti-2nwxk3tn3ebiq.eu-2.platformsh.site Published On :: Mon, 27 Jan 2020 09:03:59 +0000 ING Media names Tokyo, New York, London and Paris as global super brands for digital visibility. Full Article
out Trade tensions hit South Korea FDI By master-7rqtwti-2nwxk3tn3ebiq.eu-2.platformsh.site Published On :: Thu, 20 Feb 2020 12:08:04 +0000 The situation between the US and China is bad news for South Korea’s investment climate. Full Article
out Kazakhstan takes digital route to prove innovation credentials By master-7rqtwti-2nwxk3tn3ebiq.eu-2.platformsh.site Published On :: Thu, 15 Aug 2019 12:00:55 +0100 As the Digital Kazakhstan programme creates an environment conducive to innovation, start-up hubs are springing up across the country. Their task? To move the economy beyond commodities and make the country a regional centre of innovation. Full Article
out Cairo standout African destination for foreign business services in 2018 By master-7rqtwti-2nwxk3tn3ebiq.eu-2.platformsh.site Published On :: Tue, 17 Dec 2019 10:30:00 +0000 The Egyptian capital Cairo led Africa in 2018, attracting 10 foreign business services investment projects, in its strongest performance since 2012. Joshua Crawford reports. Full Article
out Setup SSH authentication with PEM RSA file without password on ubuntu/linux Raspberry Pi Server By www.9lessons.info Published On :: Mon, 26 Apr 2021 22:45:00 -0400 Recently I have been working with Raspberry PI and creating my own home server to host some of my demo projects. This post is about setting up SSH authentication with a PEM certificate file without password on ubuntu/linux server. Implement the following steps and improve the security. Full Article linux pem raspberrypi ubuntu vpn
out Insight – The impact of recent South American free trade agreements on Australian agriculture By www.austrade.gov.au Published On :: Thu, 02 Mar 2023 23:34:00 GMT Recent South American free trade agreements will have implications for Australian agricultural exports. Full Article Insights
out Android users spot a TikTok-style swipe on YouTube’s horizontal videos By mashable.com Published On :: Mon, 11 Nov 2024 20:02:15 +0000 YouTube might be testing a swipe-up gesture in its horizontal video player, but users aren't thrilled. Full Article
out How to watch 'I'm a Celebrity...Get Me Out of Here!' online for free By mashable.com Published On :: Tue, 12 Nov 2024 05:00:00 +0000 Watch 'I'm a Celebrity...Get Me Out of Here!' for free from anywhere in the world. Full Article
out 'Bridget Jones: Mad About the Boy' trailer: Two hot new bombshells enter the villa By mashable.com Published On :: Tue, 12 Nov 2024 16:00:00 +0000 In the fourth "Bridget Jones" film, Bridget (Renée Zellweger) tries dating again after Mark Darcy's (Colin Firth) death. Trailer. Full Article
out Can we keep politics out of literature? BookTok is divided. By mashable.com Published On :: Tue, 12 Nov 2024 16:52:08 +0000 TikTok is divided over whether books are inherently political after Donald Trump's win in the U.S. presidential election. Full Article
out Scope out Walmart's early Black Friday headphone deals, including AirPods under $100 By mashable.com Published On :: Tue, 12 Nov 2024 17:43:34 +0000 Get early Black Friday deals on headphones at Walmart. Score AirPods for under $100. Full Article
out Is T-Mobile down? Users report widespread outages By mashable.com Published On :: Tue, 12 Nov 2024 20:57:30 +0000 T-Mobile and its Mint Mobile subsidiary had trouble on Tuesday. Full Article
out T-Mobile, Mint Mobile outage maps: See which regions are affected By mashable.com Published On :: Tue, 12 Nov 2024 22:04:33 +0000 T-Mobile, Mint Mobile outage maps: See which regions are affected Full Article
out 3 AI-enhanced PCs that stand out from the rest By mashable.com Published On :: Tue, 12 Nov 2024 22:47:22 +0000 Thanks to Intel, a feature-laden new generation of AI-enhanced PCs hit the market just in time for the holidays. Full Article
out Australia’s defence industry out in force at Malaysia’s LIMA expo By www.austrade.gov.au Published On :: Mon, 22 May 2023 07:23:00 GMT Malaysia’s LIMA exhibition for defence, aerospace and maritime businesses will host a strong contingent from Australia. Full Article Latest from Austrade
out Study Australia Education Fairs in South Korea and Japan By www.austrade.gov.au Published On :: Tue, 01 Aug 2023 00:52:00 GMT In May 2023, Austrade’s International Education teams in South Korea and Japan delivered face-to-face Study Australia Education Fairs for the first time in four years. Full Article Reports
out Investment Southeast Asia (Ministerial) By www.austrade.gov.au Published On :: Wed, 06 Sep 2023 05:50:00 GMT The Australian Government has today launched Invested: Australia's Southeast Asia Economic Strategy to 2040 to deepen Australia's economic engagement with our region and ensure our shared future prosperity. Full Article Media Releases
out Setting the Record Straight – Myths vs. Facts about .com By feeds.feedblitz.com Published On :: Tue, 13 Aug 2024 17:30:25 +0000 Over the past several weeks, there has been significant discussion about Verisign and its management of the .com top-level domain (TLD) registry. Much of this discussion has been distorted by factual inaccuracies, a misunderstanding of core technical concepts, and misinterpretations regarding pricing, competition, and market dynamics in the domain name industry. Billions of internet users […] The post Setting the Record Straight – Myths vs. Facts about .com appeared first on Verisign Blog. Related StoriesDomain Name Industry Brief Quarterly Report: DNIB.com Announces 362.3 Million Domain Name Registrations in the Third Quarter of 2024Domain Name Industry Brief Quarterly Report: DNIB.com Announces 362.4 Million Domain Name Registrations in the Second Quarter of 2024Domain Name Industry Brief Quarterly Report: DNIB.com Announces 362.4 Million Domain Name Registrations in the First Quarter of 2024 Full Article Domain Names .com DNS Featured
out EWC Honors Outgoing 'Pandemic Cohort' of Students By www.eastwestcenter.org Published On :: Sat, 07 May 2022 18:56:00 +0000 EWC Honors Outgoing 'Pandemic Cohort' of Students EWC Honors Outgoing 'Pandemic Cohort' of Students ferrard Sat, 05/07/2022 - 08:56 May 7, 2022 May 7, 2022 Education & Exchange Education & Exchange News Release Home EWC Feeds Explore search All Programs All Regions All Topics Release Date Filters Reset filters News Release Home EWC Feeds Explore search All Programs All Regions All Topics Release Date Filters Reset filters Full Article
out Southeast Asia and US Delegations Meet at Jakarta Conference on US Indo-Pacific Strategy By www.eastwestcenter.org Published On :: Wed, 16 Aug 2023 20:03:29 +0000 Southeast Asia and US Delegations Meet at Jakarta Conference on US Indo-Pacific Strategy Southeast Asia and US Delegations Meet at Jakarta Conference on US Indo-Pacific Strategy ferrard Wed, 08/16/2023 - 10:03 Aug 10, 2023 Aug 10, 2023 Politics & International Relations Politics & International Relations Southeast Asia Southeast Asia News Release Home EWC Feeds Explore search All Programs All Regions All Topics Release Date Filters Reset filters News Release Home EWC Feeds Explore search All Programs All Regions All Topics Release Date Filters Reset filters Full Article
out East-West Center Launches New Southeast Asian Vulnerable Deltas Initiative By www.eastwestcenter.org Published On :: Tue, 12 Jul 2022 09:52:14 +0000 East-West Center Launches New Southeast Asian Vulnerable Deltas Initiative East-West Center Launches New Southeast Asian Vulnerable Deltas Initiative ferrard Mon, 07/11/2022 - 23:52 Jul 11, 2022 Jul 11, 2022 Environment & Climate Environment & Climate Southeast Asia Southeast Asia News Release Home EWC Feeds Explore search All Programs All Regions All Topics Release Date Filters Reset filters News Release Home EWC Feeds Explore search All Programs All Regions All Topics Release Date Filters Reset filters Full Article
out US South Pacific Scholarship Program Alumni Meet in Fiji By www.eastwestcenter.org Published On :: Tue, 11 Dec 2018 02:25:47 +0000 US South Pacific Scholarship Program Alumni Meet in Fiji US South Pacific Scholarship Program Alumni Meet in Fiji ferrard Mon, 12/10/2018 - 16:25 Dec 10, 2018 Dec 10, 2018 Education & Exchange Education & Exchange Pacific Pacific Fiji Fiji News Release Home EWC Feeds Explore search All Programs All Regions All Topics Release Date Filters Reset filters News Release Home EWC Feeds Explore search All Programs All Regions All Topics Release Date Filters Reset filters Full Article
out US State Dept. Selects East-West Center for 'All of America' Human Capital Development Project on Southeast Asia and the Pacific By www.eastwestcenter.org Published On :: Fri, 19 Feb 2021 00:04:17 +0000 US State Dept. Selects East-West Center for 'All of America' Human Capital Development Project on Southeast Asia and the Pacific US State Dept. Selects East-West Center for 'All of America' Human Capital Development Project on Southeast Asia and the Pacific palmaj Thu, 02/18/2021 - 14:04 Feb 22, 2021 Feb 22, 2021 Politics & International Relations Politics & International Relations Social Issues Social Issues Australia Australia Fiji Fiji Papua New Guinea Papua New Guinea Vanuatu Vanuatu Indonesia Indonesia Myanmar Myanmar Thailand Thailand Vietnam Vietnam News Release Home EWC Feeds Explore search All Programs All Regions All Topics Release Date Filters Reset filters News Release Home EWC Feeds Explore search All Programs All Regions All Topics Release Date Filters Reset filters Full Article
out Henry Luce Foundation Awards $1 Million for Southeast Asian Studies Project By www.eastwestcenter.org Published On :: Tue, 14 Jul 2020 21:46:53 +0000 Henry Luce Foundation Awards $1 Million for Southeast Asian Studies Project Henry Luce Foundation Awards $1 Million for Southeast Asian Studies Project ferrard Tue, 07/14/2020 - 11:46 Jul 14, 2020 Jul 14, 2020 Environment & Climate Environment & Climate Southeast Asia Southeast Asia News Release Home EWC Feeds Explore search All Programs All Regions All Topics Release Date Filters Reset filters News Release Home EWC Feeds Explore search All Programs All Regions All Topics Release Date Filters Reset filters Full Article
out Celebrating 30 years of China-South Korea friendship By www.shanghaidaily.com Published On :: Wed, 24 Aug 2022 00:48:04 +0800 Ten years ago I was posted to South Korea to cover news. Full Article World
out China hits out at US drugs tag By www.shanghaidaily.com Published On :: Tue, 19 Sep 2023 00:00:00 +0800 CHINA yesterday urged the United States to stop attacking and slandering the country, following the release of a US presidential memorandum that identified China as one of the major drug transit or illicit Full Article Nation
out Figures speak volumes about FTZ’s progress By www.shanghaidaily.com Published On :: Thu, 21 Sep 2023 00:00:00 +0800 THE China (Shanghai) Pilot Free Trade Zone has almost witnessed the establishment of a new enterprise every hour over the past decade, statistics show. By the end of 2022, the Shanghai FTZ, which was Full Article Nation
out Youth the target as Sanya aims to be international tourist destination By www.shanghaidaily.com Published On :: Tue, 10 Oct 2023 01:04:00 +0800 A group of young foreign tourists went on a fantastic journey to Sanya, a popular coastal destination in south China’s Hainan Province. The exhilarated youngsters were fascinated by the picturesque scenery, Full Article Nation