at Operation Shanela Yielded Good Results in the Joe Gqabi District By allafrica.com Published On :: Tue, 12 Nov 2024 10:30:56 GMT [SAPS] SAPS members' continued efforts to prevent and detect crime yielded the following successes within the Joe Gqabi District as part of Operation Shanela during the week and start of the weekend . Full Article Legal and Judicial Affairs South Africa Southern Africa
at Turner Adams's Tattooed Body Told More Than One Story By allafrica.com Published On :: Tue, 12 Nov 2024 04:27:19 GMT [GroundUp] Former Lavender Hill gangster died on 29 October Full Article Arts Culture and Entertainment Legal and Judicial Affairs South Africa Southern Africa
at Again, Tyla Beats Asake, Tems, Ayra Starr, Burnaboy, Wins 'Best Afrobeats' at MTV EMA By allafrica.com Published On :: Tue, 12 Nov 2024 05:01:10 GMT [Premium Times] In September, Tyla made headlines at the MTV Video Music Awards (VMAs) for winning the "Best Afrobeats," but she stirred debate by clarifying that she identified with the Amapiano genre rather than Afrobeats Full Article Arts Culture and Entertainment Music South Africa Southern Africa
at United States Ambassador-At-Large Dyer's Travel to Madagascar and South Africa By allafrica.com Published On :: Mon, 11 Nov 2024 17:30:26 GMT [State Department] U.S. Ambassador-at-Large to Monitor and Combat Trafficking in Persons Cindy Dyer will travel to Madagascar November 13-16 and South Africa November 17-21. Full Article Africa East Africa External Relations Madagascar South Africa Southern Africa United States Canada and Africa
at Gauteng Municipalities Owe Rand Water R7.3bn, Excluding Three Metros By allafrica.com Published On :: Tue, 12 Nov 2024 06:01:29 GMT [Daily Maverick] Water and Sanitation Minister Pemmy Majodina held an urgent meeting on Sunday with Gauteng Premier Panyaza Lesufi and Johannesburg Mayor Dada Morero to address severe water shortages affecting Johannesburg communities. Full Article Economy Business and Finance Governance South Africa Southern Africa
at Joburg's Water Restrictions Set to Tighten Further As Crisis Deepens By allafrica.com Published On :: Tue, 12 Nov 2024 06:01:29 GMT [Daily Maverick] Office of the Chief Justice reveals Constitutional Court has been unable to sit because of unreliable water supply. This article is free to read.Sign up for free or sign in to continue reading.Unlike our competitors, we don't force you to pay to read the news but we do need your email address to make your experience better.Create your free account or sign in FAQ | Contact Us Nearly there! Create a password to finish signing up with us: You want to receive First Thing, our flagship daily newsletter. Opt Full Article Environment Governance South Africa Southern Africa Water and Sanitation
at Cosatu Is Deeply Concerned By Government's Withdrawal of the SABC Soc Ltd Bill From Parliament By allafrica.com Published On :: Tue, 12 Nov 2024 07:58:37 GMT [COSATU] The Congress of South African Trade Unions (COSATU) is deeply concerned by the Minister for Communications and Digital Technologies, Mr. S. Malatsi's sudden withdrawal of the South African Broadcasting Corporation (SABC) SOC Ltd Bill from Parliament where it was being engaged upon by the National Assembly's Portfolio Committee: Communications and Digital Technologies. Full Article Economy Business and Finance Governance Labour South Africa Southern Africa
at Debate Rages Over Spaza Shop Regulation - South African News Briefs - November 12, 2024 By allafrica.com Published On :: Tue, 12 Nov 2024 05:31:48 GMT [allAfrica] Full Article Economy Business and Finance Environment Governance Legal and Judicial Affairs South Africa Southern Africa Water and Sanitation
at Cosatu Welcomes the Drop in the Unemployment Rate By allafrica.com Published On :: Wed, 13 Nov 2024 06:47:22 GMT [COSATU] The Congress of South African Trade Unions (COSATU) welcomes the slight drop in the expanded unemployment rate from 42.6% in the second quarter to 41.9% in the third quarter of this year. Full Article Economy Business and Finance Governance Labour South Africa Southern Africa
at Constitutional Court Shutdown Over Water Cuts Is an Embarrassing Low-Point for Collapsing Joburg Metro By allafrica.com Published On :: Wed, 13 Nov 2024 06:23:22 GMT [DA] It is a national embarrassment that the inability of the City of Johannesburg to supply water to its residents, business and public sector offices, has now led to the shutdown of operations at the Constitutional Court, on Constitution Hill in Braamfontein. Full Article Environment Governance South Africa Southern Africa Water and Sanitation
at These Matriculants Have Been Waiting for Their Matric Certificates for Three Years By allafrica.com Published On :: Wed, 13 Nov 2024 04:51:22 GMT [GroundUp] The education department says there's only one SETA official assisting all nine provinces Full Article Education Governance South Africa Southern Africa
at Cadence Demonstrates Complete PCIe 7.0 Solution at PCI-SIG DevCon ‘24 By community.cadence.com Published On :: Tue, 11 Jun 2024 23:00:00 GMT PCI-SIG DevCon 2024 – 32nd Anniversary For more than a decade, Cadence has been well-known in the industry for its strong commitment and support for PCIe technology. We recognize the importance of ensuring a robust PCIe ecosystem and appreciate the leadership PCI-SIG provides. To honor the 32nd anniversary of the PCI-SIG Developer’s Conference, Cadence is announcing a complete PCIe 7.0 IP solution for HPC/AI markets. Why Are Standards Like PCIe So Important? From the simplest building blocks like GPIOs to the most advanced high-speed interfaces, IP subsystems are the lifeblood of the chipmaking ecosystem. A key enabler for IP has been the collaboration between industry and academia in the creation of standards and protocols for interfaces. PCI-SIG drives some of the key definitions and compliance specifications and ensures the interoperability of interface IP. HPC/AI markets continue to demand high throughput, low latency, and power efficiency. This is fueling technology advancements, ensuring the sustainability of PCIe technology for generations to come. As a close PCI-SIG member, we gain valuable early insights into the evolving specs and the latest compliance standards. PCIe 7.0 specifications and beyond will enable the market to scale, and we look forward to helping our customers build best-in-class cutting-edge SoCs using Cadence IP solutions. Figure 1. Evolution of PCIe Data Rates (source PCI-SIG) What’s New This Year at DevCon? At DevCon ’24, the PCIe 7.0 standard will take center stage, and Cadence is showing off a full suite of IP subsystem solutions for PCIe 7.0 this year. What Sets Cadence Apart? At Cadence, we believe in building a full subsystem for our testchips with eight lanes of PHY along with a full 8-lane controller. Adding a controller to our testchip significantly increases the efficiency and granularity in characterization and stress testing and enables us to demonstrate interoperability with real-world systems. We are also able to test the entire protocol stack as an 8-lane solution that encompasses many of the applications our customers use in practice. This approach significantly reduces the risks in our customers’ SoC designs. Figure 2: Piper - Cadence PHY IP for PCIe 7.0 Figure 3: Industry’s first IP subsystem for PCIe 7.0 Which Market Is This For? At a time when accelerated computing has gone mainstream, PCIe links are going to take on a role of higher importance in systems. Direct GPU-to-GPU communication is crucial for scaling out complex computational tasks across multiple graphics processing units (GPUs) or accelerators within servers or computing pods. There is a growing recognition within the industry of a need for scalable, open architecture in high-performance computing. As AI and data-intensive applications evolve, the demand for such technologies will likely increase, positioning PCIe 7.0 as a critical component in the next generation of interface IP. Here's a recent article describing a potential use case for PCIe 7.0. Figure 4: Example use case for PCIe 7.0 Why Are Optical Links Important? It takes multiple buildings of data centers to train AI/ML models today. These buildings are increasingly being distributed across geographies, requiring optical fiber networks that are great at handling the increased bandwidth over long distances. However, these optical modules soon hit a power wall where all the budgeted power is used to drive the signal from point A to point B, and there is not enough power left to run the actual CPUs and GPUs. Such scenarios create a need for non-retimed, linear topologies. Linear Pluggable Optics (LPO) links can significantly reduce module power consumption and latency when compared to traditional Digital Signal Processing (DSP) based retimed optical solutions, which is critical for accelerating AI performance. Swapping from DSP-based solutions to LPO results in significant cost savings that help drive down expenditure due to lower power and cooling requirements, but this requires a robust high-performance ASIC to drive the optics rather than retimers/DSP. To showcase the robustness of Cadence IP, we have demonstrated that our subsystem testchip board for PCIe 7.0 can successfully transmit and receive 128GT/s signals through a non-retimed opto-electrical link configured in an external loopback mode with multiple orders of margin to spare. Figure 5: Example of ASIC driving linear optics Compliance Is Key For PCIe 6.0, the official compliance program has not started yet; this is typical for the SIG where the official compliance follows a few years after the spec is ratified to give enough time for the ecosystem to have initial products ready, and for test and equipment vendors to get their hardware/software up and running. At this time, PCIe Gen6 implementations can only be officially certified up to PCIe 5.0 level (the highest official compliance test suite that the SIG supports). We have taken our PCIe 6.0 IP subsystem solution to the SIG for multiple process nodes, and they are all listed as compliant. You can run this query on the pcisig.com website under the Developers->Integrators list by making the following selections: Due to space limitations, not all combinations could be tested at the May workshop (e.g., N3 root port) – this will be tested in the next workshop. Also, the SIG just held an “FYI” compliance event this week to bring together the ecosystem for confidential testing (no results were reported, and data cannot be shared outside without violating the PCI-SIG NDA). We participated in the event with multiple systems and can report that our systems have done quite well. The test ecosystem is not mature yet, and a few more FYI workshops will be conducted before the official compliance for 6.0 is launched. We have collaborated with all the key test vendors for electrical and protocol testing throughout the year. As early as the middle of last year, we were able to provide test cards to all these vendors to demo PCIe 6.0 capabilities in their booths at various events. Many of them recorded these videos, and they can be found online. Cadence Subsystem IP for PCIe 6.0: Protocol and Electrical Testing Cadence Subsystem IP for CXL Protocol Test Demo Cadence Subsystem IP for CXL2.0/3.0 Protocol Test Demo Cadence Subsystem IP for PCIe 6.0: Protocol Stack Demo More at the PCI-SIG Developers Conference Check us out at the PCI-SIG Developer’s conference on June 12 and 13 to see the following demonstrations: Robust performance of Cadence IP for PCIe 7.0 transmitting and receiving 128GT/s signals over non-retimed optics Capabilities of Cadence IP for PCIe 7.0 measured using oscilloscope instrumentation detailing its stable electrical performance and margin The reliability of Cadence IP for PCIe 6.0 interface using Test Equipment to characterize the PHY receiver quality A PCI-SIG-compliant Cadence IP subsystem for PCIe 6.0 optimized for both power and performance As a leader in PCI Express, Anish Mathew of Cadence will share his valuable insights on an important topic: “Impact of UIO ECN on PCIe Controller Design and Performance,” highlighting the strides made by the Cadence design team in achieving this implementation. Figure 6: Cadence UIO Implementation Summary Summary Cadence showcased PCIe 7.0-ready IP at PCI-SIG Developers Conference 2023 and continues to lead in PCIe IP development, offering complete solutions in advanced nodes for PCIe 7.0 that will be generally available early next year. With a full suite of solutions encompassing PHYs, Controllers, Software, and Verification IP, Cadence is proud to be a member of the PCI-SIG community and is heavily invested in PCIe. Cadence was the first IP provider to bring complete subsystem solutions for PCIe 3.0, 4.0, 5.0, and 6.0 with industry-leading PPA and we are proud to continue this trend with our latest IP subsystem solution for PCIe 7.0, which sets new benchmarks for power, performance, area, and time to market. Full Article Design IP IP PHY PCIe 7.0 PCIe semiconductor IP SerDes PCI Express PCI-SIG
at How Cadence Is Expanding Innovation for 3D-IC Design By community.cadence.com Published On :: Wed, 12 Jun 2024 06:39:00 GMT The market is trending towards integrating and stacking multiple chiplets into a single package to meet the growing demands of speed, connectivity, and intelligence. However, designing and signing off chiplets and packages individually is time-...(read more) Full Article
at Navigating Chiplet-Based Automotive Electronics Design with Advanced Tools and Flows By community.cadence.com Published On :: Tue, 25 Jun 2024 12:00:00 GMT In the rapidly evolving landscape of automotive electronics, traditional monolithic design approaches are giving way to something more flexible and powerful—chiplets. These modular microchips, which are themselves parts of a whole silicon system, offer unparalleled potential for improving system performance, reducing manufacturing costs, and accelerating time-to-market in the automotive sector. However, the transition to working with chiplets in automotive electronics is not without its challenges. Designers must now grapple with a new set of considerations, such as die-to-die interconnect standards, complex processes, and the integration of diverse IPs. Advanced toolsets and standardized design approaches are required to meet these challenges head-on and elevate the potential of chiplets in automotive innovation. In the following discourse, we will explore in detail the significance of chiplets in the context of automotive electronics, the obstacles designers face when working with this paradigm, and how Cadence comprehensive suite of IPs, tools, and flows is pioneering solutions to streamline the chiplet design process. Unveiling Chiplets in Automotive Electronics For automotive electronics, chiplets offer a methodology to modularize complex functionalities, integrate different chiplets into a package, and significantly enhance scalability and manufacturability. By breaking down semiconductor designs into a collection of chiplets, each fulfilling specific functions, automotive manufacturers can mix and match chiplets to rapidly prototype new designs, update existing ones, and specialize for the myriad of use cases found in vehicles today. The increasing significance of chiplets in automotive electronics comes as a response to several industry-impacting phenomena. The most obvious among these is the physical restriction of Moore's Law, as large die sizes lead to poor yields and escalating production costs. Chiplets with localized process specialization can offer superior functionality at a more digestible cost, maintaining a growth trajectory where monolithic designs cannot. Furthermore, chiplets support the assembly of disparate technologies onto a single subsystem, providing a comprehensive yet adaptive solution to the diverse demands present in modern vehicles, such as central computing units, advanced driver-assistance systems (ADAS), infotainment units, and in-vehicle networks. This chiplet-based approach to functional integration in automotive electronics necessitates intricate design, optimization, and validation strategies across multiple domains. The Complexity Within Chiplets Yet, with the promise of chiplets comes a series of intricate design challenges. Chiplets necessitate working across multiple substrates and technologies, rendering the once-familiar 2-dimensional design space into the complex reality of multi-layered, sometimes even three-dimensional domains. The intricacies embedded within this design modality mandate devoting considerable attention to partitioning trade-offs, signal integrity across multiple substrates, thermal behavior of stacked dies, and the emergence of new assembly design kits to complement process design kits (PDKs). To effectively address these complexities, designers must wield sophisticated tools that facilitate co-design, co-analysis, and the creation of a robust virtual platform for architectural exploration. Standardizations like the Universal Chip Interconnect Express (UCIe) have been influential, providing a die-to-die interconnect foundation for chiplets that is both standardized and automotive-ready. The availability of UCIe PHY and controller IP from Cadence and other leading developers further eases the integration of chiplets in automotive designs. The Role of Foundries and Packaging in Chiplets Foundries have also pivoted their services to become a vital part of the chiplet process, providing specialized design kits that cater to the unique requirements of chiplets. In tandem, packaging has morphed from being a mere logistical afterthought to a value-added aspect of chiplets. Organizations now look to packaging to deliver enhanced performance, reduced power consumption, and the integrity required by the diverse range of technologies encompassed in a single chip or package. This shift requires advanced multiscale design and analysis strategies that resonate across a spectrum of design domains. Tooling Up for Chiplets with Cadence Cadence exemplifies the rise of comprehensive tooling and workflows to facilitate chiplet-based automotive electronics design. Their integrations address the challenges that chiplet-based SoCs present, ensuring a seamless design process from the initial concept to production. The Cadence suite of tools is tailored to work across design domains, ensuring coherence and efficiency at every step of the chiplet integration process. For instance, Cadence Virtuoso RF subflows have become critical in navigating radio frequency (RF) challenges within the chiplets, while tools such as the Integrity 3D-IC Platform and the Allegro Advanced Multi-Die Package Design Solution have surfaced to enable comprehensive multi-die package designs. The Integrity Signal Planner extends its capabilities into the chiplet ecosystem, providing a centralized platform where system-wide signal integrity can be proactively managed. Sigrity and Celsius, on the other hand, offer universally applicable solutions that take on the challenges of chiplets in signal integrity and thermal considerations, irrespective of the design domain. Each of these integrated analysis solutions underscores the intricate symphony between technology, design, and packaging essential in unlocking the potential of chiplets for automotive electronics. Cadence portfolio includes solutions for system analysis, optimization, and signoff to complement these domain-specific tools, ensuring that the challenges of chiplet designs don't halt progress toward innovative automotive electronics. Cadence enables designers to engage in power- and thermal-aware design practices through their toolset, a necessity as automotive systems become increasingly sophisticated and power-efficient. A Standardized Approach to Success with Chiplets Cadence’s support for UCIe underscores the criticality of standardized approaches for heterogeneous integration by conforming to UCIe standards, which numerous industry stakeholders back. By co-chairing the UCIe Automotive working group, Cadence ensures that automotive designs have a universal and standardized Die-to-Die (D2D) high-speed interface through which chiplets can intercommunicate, unleashing the true potential of modular design. Furthermore, Cadence champions the utilization of virtual platforms by providing transaction-level models (TLMs) for their UCIe D2D IP to simulate the interaction between chiplets at a higher level of abstraction. Moreover, individual chiplets can be simulated within a chiplet-based SoC context leveraging virtual platforms. Utilizing UVM or SCE-MI methodologies, TLMs, and virtual platforms serve as first lines of defense in identifying and addressing issues early in the design process before physical silicon even enters the picture. Navigating With the Right Tools The road to chiplet-driven automotive electronics is one paved with complexity, but with a commitment to standards, it is a path that promises significant rewards. By leveraging Cadence UCIe Design and Verification IP, tools, and methodologies, automotive designers are empowered to chart a course toward chiplets and help to establish a chiplet ecosystem. With challenges ranging from die-to-die interconnect to standardization, heterogeneous integration, and advanced packaging, the need for a seamless integrated flow and highly automated design approaches has never been more apparent. Companies like Cadence are tackling these challenges, providing the key technology for automotive designers seeking to utilize chiplets for the next-generation E/E architecture of vehicular technology. In summary, chiplets have the potential to revolutionize the automotive electronics industry, breathing new life into the way vehicles are designed, manufactured, and operated. By understanding the significance of chiplets and addressing the challenges they present, automotive electronics is poised for a paradigm shift—one that combines the art of human ingenuity with the power of modular and scalable microchips to shape a future that is not only efficient but truly intelligent. Learn more about how Cadence can help to enable automakers and OEMs with various aspects of automotive design. Full Article Automotive electronics chiplets tools and flows
at DDR5 12.8Gbps MRDIMM IP: Powering the Future of AI, HPC, and Data Centers By community.cadence.com Published On :: Mon, 26 Aug 2024 06:44:00 GMT The demand for higher-performance computing is greater than ever. Cutting-edge applications in artificial intelligence (AI), big data analytics, and databases require high-speed memory systems to handle the ever-increasing volumes and complexities of data. Advancements in cloud computing and machine virtualization are stretching the limits of current capabilities. AI applications hosted in the cloud rely on fast access and reduced latency in memory systems, which is amplified by an increasing number of CPU and GPU cores. Introducing the DDR5 Multiplexed Rank DIMM (MRDIMM), the next-generation memory module technology designed to meet the needs of high-performance computing (HPC) and AI in cloud applications. By leveraging existing DDR5 DRAM memory devices, MRDIMM modules not only double the DRAM data rate but also maintain the RAS capabilities of the industry-proven RDIMM modules, setting a new precedent for memory module performance. Let’s compare RDIMM and MRDIMM modules using the same DRAM parts. Today, high-speed production DDR5 RDIMM modules run at 5600Mbps. Those modules use DDR5 DRAM parts, which also run at 5600Mbps. An MRDIMM module using the same DDR5 5600Mbps DRAM parts will run at a blazing 11.2Gbps. One key metric for best-in-class performance, low bit error rate (BER), and ease of adoption is the eye diagram. The eye diagram illustrates at-speed system margin and accurately represents DDR system quality when captured with a pseudo-random binary sequence (PRBS)-like pattern. The diagram below illustrates Cadence’s 3nm silicon write eye diagram for DDR5 MRDIMM IP running at 12.8Gbps. Cadence 3nm DDR5 MRDIMM 12.8Gbps test chip write eye diagram, design kit is available today The eye diagram is captured using a PRBS-like pattern, incorporating a package and system board representative of a typical MRDIMM channel. Using PRBS-like patterns is crucial for capturing accurate eye diagrams. Repetitive clock-like data patterns create deceptively “open eyes” that do not reflect the real system performance. Effects like intersymbol interference, simultaneous switching, reflections, and crosstalk are not accurately reflected in the eye diagrams for parallel interfaces like DDR using non-random data streams. Relying on improperly captured eye diagrams inevitably leads to a significantly worse real system BER than conveyed by that eye diagram. Doubling the DDR5 RDIMM data rate is challenging. Achieving high performance while optimizing for area and power requires multiple design techniques. Feed-forward equalization (FFE), decision feedback equalization (DFE), continuous-time linear equalization (CTLE), and T-coils are required to reach 12.8Gbps MRDIMM data rates in multi-channel systems. Building a production-worthy 12.8Gbps DDR5 MRDIMM IP requires engineering expertise that comes from many generations of memory interface design and production experience. Cadence has developed this expertise through multiple DDR5/4, LPDDR5X/5, and GDDR6 designs in different technology nodes and foundries. For instance, Cadence’s GDDR6 IP is available in three foundries and ten process nodes, with mass production at speeds exceeding 22Gbps. For your next project, consider DDR5 12.8Gbps MRDIMM, a technology that not only doubles the bandwidth of DDR5 RDIMM but also promises rapid proliferation into next-generation AI, data center, HPC, and enterprise applications. With its cutting-edge capabilities, the Cadence DDR5 12.8Gbps MRDIMM IP is ready to power the future of computing. Full Article ddr5 Design IP IP gddr6 PHY 3nm MRDIMM GDDR memory IP Denali Design IP and Verification IP DDR
at Locking When Emulating Xtensa LX Multi-Core on a Xilinx FPGA By community.cadence.com Published On :: Mon, 30 Sep 2024 16:00:00 GMT Today's high-performance computing systems often require the designer to instantiate multiple CPU or DSP cores in their subsystem. However, the performance gained by using multiple CPUs comes with additional programming complexity, especially when accessing shared memory data structures and hardware peripherals. CPU cores need to access shared data in an atomic fashion in a multi-core environment. Locking is the most basic requirement for data sharing. A core takes the lock, accesses the shared data structure, and releases the lock. While one core has the lock, other cores are disallowed from accessing the same data structure. Typically, locking is implemented using an atomic read-modify-write bus transaction on a variable allocated in an uncached memory. This blog shares the AXI4 locking mechanism when implementing an Xtensa LX-based multi-core system on a Xilinx FPGA platform. It uses a dual-core design mapped to a KC705 platform as an example. Exclusive Access to Accomplish Locking The Xtensa AXI4 manager provides atomic access using the AXI4 atomic access mechanism. While Xtensa's AXI manager interface generates an exclusive transaction, the subordinate's interface is also expected to support exclusive access, i.e., AXI monitoring. Xilinx BRAM controller's AXI subordinate interface does not support exclusive access, i.e., AXI monitoring: AXI Feature Adoption in Xilinx FPGAs. Leveraging Xtensa AXI4 Subordinate Exclusive Access The Xtensa LX AXI subordinate interface supports exclusive access. One approach is to utilize this support and allocate locks in one of the core's local data memories. Ensure that the number of external exclusive managers is configured, typically to the number of cores (Figure 1). Figure 1 Note that the Xtensa NX AXI subordinate interface does not support exclusive access. For an Xtensa NX design, shared memory with AXI monitoring is required. In Figure 2, the AXI_crossbar#2 (block in green) routes core#0's manager AXI access (blue connection) to both core's local memories. Core#1's manager AXI (yellow connection) can also access both core's local memories. Locks can be allocated in either core's local data memory. In-Bound Access on Subordinate Interface On inbound access, the Xtensa AXI subordinate interface expects a local memory address, i.e., an external entity needs to present the same address as the core would use to access local memory in its 4GB address space. AXI address remap IP (block in pink) translates the AXI system address to each core's local address. For example, assuming locks are allocated in core#0's local memory, core#1 generates an AXI exclusive to access a lock allocated in core#0's local memory (yellow connection). AXI_crossbar#2 forwards transaction to M03_AXI port (green connection). AXI_address_remap#1 translates the AXI system address to the local memory address before presenting it to core#0's AXI subordinate interface (pink connection). It is possible to configure cores with disjoint local data memory addresses and avoid the need for an address remap IP block. But then it will be a heterogeneous multi-core design with a multi-image build. An address remap IP is required to keep things simple, i.e., a homogeneous multi-core with a single image build. A single image uses a single memory map. Therefore, both cores must have the same view of a lock, i.e., the lock's AXI bus address must be the same for both. Figure 2 AXI ID Width Note Xtensa AXI manager interface ID width=4 bits. Xtensa's AXI subordinate interface ID width=12 bits. So, you must configure AXI crossbar#2 and AXI address remap AXI ID width higher than 4. AXI IDs on a manager port are not globally defined; thus, an AXI crossbar with multiple manager ports will internally prefix the manager port index to the ID and provide this concatenated ID to the subordinate device. On return of the transaction to its manager port of origin, this ID prefix will be used to locate the manager port, and the prefix will be truncated. Therefore, the subordinate port ID is wider in bits than the manager port ID. Figure 3 shows the Xilinx crossbar IP AXI ID width configuration. Figure 3 Software Tools Support Cadence tools provide a way to place locks at a specific location. For more details, please refer to Cadence's Linker Support Packages (LSP) Reference Manual for Xtensa SDK. .xtos.lock(green) resides in core#0's local memory and holds user-defined and C library locks. The lock segment memory attribute is defined as shared inner (cyan) so that L32EX and S32EX instructions generate an exclusive transaction on an AXI bus. See Figure 4. The stack and per-core Xtos and C library contexts are allocated in local data memory (yellow). …………..LSP memory map………….BEGIN dram00x40000000: dataRam : dram0 : 0x8000 : writable ; dram0_0 : C : 0x40000400 - 0x40007fff : STACK : .dram0.rodata .clib.percpu.data .rtos.percpu.data .dram0.data .clib.percpu.bss .rtos.percpu.bss .dram0.bss;END dram0…………………BEGIN sysViewDataRam00xA0100000: system : sysViewDataRam0 : 0x8000 : writable, uncached, shared_inner; lockRam_0 : C : 0xA0100000 - 0xA01003ff : .xtos.lock;END sysViewDataRam0………….. Figure 4 Please visit the Cadence support site for more information on emulating Xtensa cores on FPGAs. Full Article AXI Tensilica Xtensa FPGA
at Advancing Die-to-Die Connectivity: The Next-Generation UCIe IP Subsystem By community.cadence.com Published On :: Tue, 08 Oct 2024 06:34:00 GMT Cadence tapes out 32G UCIe interface IP for high speed, highly efficient chiplet designs and demonstrate high data rate performance in TSMC's 3nm technology(read more) Full Article ucie IP die-to-die
at Driving Innovation: Cadence's Cutting-Edge IP on TSMC's N3 Node By community.cadence.com Published On :: Mon, 14 Oct 2024 16:00:00 GMT Staying ahead of the curve is essential to meeting customer needs. Cadence has consistently demonstrated its commitment to innovation, and its latest IP portfolio available on TSMC's 3nm (N3) process is no exception. Today, rapid advancements in AI/ML, hyperscale computing (HPC), and the automotive industry are driving significant changes in technology. Let's explore the impressive array of IP that Cadence offers on this advanced node. Memory Solutions: High-Speed and Power-Efficient Cadence's DDR5 12.8G MRDIMM IP supports the highest speed grade Gen2 MRDIMMs and features a fully hardened PHY optimized to the customer's floorplan. The LPDDR5X IP is silicon-proven at 9.6Gbps and is ideal for power-sensitive applications, offering a fully integrated memory subsystem. GDDR7: Leading the Way in Graphics Memory Cadence has achieved a significant milestone with the world's first silicon-proven GDDR7 IP, supporting data rates up to 32Gbps. This IP offers the best price/performance ratio for AI interfaces, making it a game-changer in the graphics memory domain. PCIe and CXL Solutions: Robust and Reliable Cadence's PCIe 3.0 IP is a mature and production-proven solution available across a wide range of process nodes from 28nm to 3nm. It offers a versatile multi-link architecture for optimum SoC configurability and flexible use cases. The PCIe 6.0 and CXL 3.x solutions are silicon-proven, power-optimized, and highly robust, with jitter-tolerant capabilities. These IP are the only subsystem proven with eight lanes of controller and PHY in silicon, ensuring interoperability with leading test vendors and OEMs. UCIe PHY: Setting New Standards The UCIe PHY IP from Cadence are set to be generally available after successful silicon characterization in both standard and advanced package options on the TSMC N3 (3nm) process. These IP demonstrate significantly better power, performance, and area (PPA) metrics than the specifications, with a bit error rate (BER) better than 1E-27 compared to the spec of 1E-15. The power consumption is also notably lower than the spec limit, ensuring a simpler integration with a best-in-class power profile. 112G PHY IP: Pushing the Boundaries of Performance Cadence's 112G PHY IP are designed to meet the demands of high-speed data transmission. The 112G-ULR PHY IP, characterized in the 3nm process, showcases exceptional performance with support for insertion loss over 45dB at data rates ranging from 1.25Gbps to 112.5Gbps. This IP is optimized for both power and area, making it a versatile choice for various applications. The 112G-VSR/MR PHY IP also stands out with its excellent power and performance metrics, making it ideal for short-reach applications and optical interconnects. Additionally, the 112G PAM4 PHY solutions cater to hyperscale, AI, HPC, and optics applications, featuring a mature DSP-based SerDes architecture with advanced techniques such as reflection cancellation. Cadence's IP portfolio on TSMC N3 shows innovation and expertise to solve today's design challenges. From high-speed PHY IP to robust PCIe and CXL solutions and advanced memory IP, Cadence continues to lead the way in semiconductor IP development. These solutions not only meet but exceed industry standards, ensuring that customers can confidently achieve their design goals. Stay tuned for more updates on Cadence's groundbreaking advancements in semiconductor technology. Learn more about Cadence IP and other silicon solutions. Full Article ucie Memory LPDDR ip cores PCIe DDR GDDR7
at How to create multiple shapes of same port in innovus? By community.cadence.com Published On :: Tue, 23 Apr 2024 13:28:46 GMT LEF allows the same port with multiple shape definitions. Does anybody know if innovus can create multiple duplicate shapes associated with the same port? Assume they are connected outside the block with perfect timing synchronization. Thank you! Full Article
at what is "cell with Zero maximum clock transition time" ? By community.cadence.com Published On :: Thu, 25 Apr 2024 09:01:00 GMT anyone know what is "cell with Zero maximum clock transition time" ? not zero transition, not maximum transtion, it is zero maximum clock transition time. it means X0 cell? (drive-strength) can you explain? thanks :-) Full Article
at Beta feature innovusClockOptFlow? By community.cadence.com Published On :: Wed, 26 Jun 2024 13:29:28 GMT Hi all, I have been following the tutorial "Innovus Block Implementation with Stylus Common UI", version 23.1. While I was doing the clock tree synthesis, the tutorial calls for a command clock_opt_design But my tool tells me this is a beta feature which needs to be enabled. Warning: clock_opt_design requires beta feature innovusClockOptFlow enabled. Can I ask how do I enable this beta feature? My version of Innovus is v21.35-s114_1, is it because of the version incompatibility? Many thanks Full Article
at Tempus ECO initial setup summary not matching timing report results By community.cadence.com Published On :: Sat, 29 Jun 2024 01:51:01 GMT We are currently setting up the Tempus flow and have ran into some mismatched data regarding ECO and timing reports. I generated a timing report before running ECO and saw six total setup violations. When running opt_signoff -setup, the initial setup summary that was printed in the shell only showed one violation. I can see that violation from the initial setup summary in my pre-ECO timing report and it is not the worst path. Upon further investigation, I forced the tool to try to fix setup on one of the other five violations from the timing report using the opt_signoff_select_setup_endpoints attribute and the tool said that the endpoint had positive slack and would be ignored. Has anyone experienced something like this before? Full Article
at Off grid violations on M2 layer By community.cadence.com Published On :: Sat, 27 Jul 2024 04:43:31 GMT Hi all, I have off grid violations on M2 layer. I have tried ecoRoute -fix_drc and deleting violations and rerouting. But the tool is still placing these routes off grid. The on grid option in nanoroute is turned on. Since there is a fat metal closer to these routes, the tool is honouring the drc and not placing the metals on track. How do I ignore drc while routing? Also if there is any other way I can fix it, please let me know Full Article
at cut_spacing violation By community.cadence.com Published On :: Mon, 29 Jul 2024 15:44:57 GMT I am getting the cut_spacing violation in the power plan, my design has two power rails, and the via is not formed for two rails, only one rail getting via, I used edit power via and modified the cut_space violation. how to solve this problem. Full Article
at Generate LEF/GDS LayerMap File By community.cadence.com Published On :: Wed, 14 Aug 2024 06:41:05 GMT I have a standard cell library containing LEF, GDS, and spice models but no OA views. I'm unable to import these files into Virtuoso without a LayerMap file. How can I obtain or generate this required LayerMap file? Full Article
at digital implementation on android and ios By community.cadence.com Published On :: Tue, 20 Aug 2024 11:38:49 GMT With digital implementation rapidly advancing, how do you think iOS and Android platforms will continue to evolve in industries like healthcare or education? The integration of mobile technology is already revolutionizing these fields, and it would be interesting to discuss where this could lead and what new opportunities might emerge. Full Article
at Tool to create *.lib and *.db files for designs made in Innovus By community.cadence.com Published On :: Thu, 26 Sep 2024 15:58:12 GMT Hi all, I have made a custom cell in Innovus that I will be instantiating into a bigger block, which I will also be using Innovus to do the Place & Route. I understand that I can generate a *.lef file and a *.lib file using Innovus. However, I need to also create a *.db file (these format of files are often used in DC Compiler synthesis tool). Is there a way to create the *.db file from Innovus? Or, is there a tool that I can use to create this *.db file? Thank you for your time. Full Article
at Find layer map file name and path for a library By community.cadence.com Published On :: Sun, 29 Sep 2024 20:45:41 GMT I'm trying to write a generic piece of code that will return the layermap file location, with file name, for a variety of projects (which could potential have different layermap file naming conventions. The below code is what I've used to date, but this assumes the file name is xxxx.layermap. I can obviously do some string matching to find it, assuming the various files all contain some common characters. I thought I'd ask if there is a simpler way to find it, I know that this information is automatically loaded into the Xstream out gui, so maybe I can use the same approach to find it. techLibName=techGetTechFile(cv)~>libName techLibLayerMap=strcat(ddGetObj(techLibName)~>readPath "/" techLibName ".layermap") Full Article
at How to import different input combination to the same circuit to get max, min, and average delay, power dissipation and area By community.cadence.com Published On :: Wed, 16 Oct 2024 02:47:12 GMT Hi everyone. I'm very a new cadence user. I'm not good at using it and quite lost in finding a way to get the results. With the topic, I would like to ask you for some suggestions to improve my cadence skills. I have some digital decision logic. Some are combinational logic, some are sequential logic that I would like to import or generate random input combination to the inputs of my decision logic to get the maximum, minimum, and average delay power dissipation and area when feeding the different input combination. My logic has 8-bit, 16-bit, and 32-bit input. The imported data tends to be decimal numbers. I would like to ask you: - which tool(s) are the most appropriate to import and feed the different combination to my decision logic? - which tool is the most appropriate to synthesis with different number of input? - I have used Genus Synthesis Solution so far. However with my skill right now I can only let Genus synthesize my Verilog code one setup at a time. I'm not sure if I there is anyway I can feed a lot of input at a time and get those results (min, max, average of delay, power dissipation and area) - which language or scripts I should pick up to use and achieve these results? -where can I find information to solve my problem? which information shall I look for? Thank you so much for your time!! Best Regards Full Article
at How to define the pin locations for 2-dimensional input? By community.cadence.com Published On :: Wed, 23 Oct 2024 18:19:05 GMT I have a 2-dimensional input in my design - input [2:0] data_in [15:0]. After synthesis with genus, I got a netlist where the inputs are like data[15], data[14],...,data[0]. And furthermore it has definitions like input [2:0] data[15], .... So how can I define the pin locations of each of the bits for this input? Can I define data[15]'s inner bits like data[15][0]? Is it possible to define this with def files? Full Article
at IC 23.1 installation configuration failure on RHEL 9 By community.cadence.com Published On :: Fri, 11 Oct 2024 13:34:00 GMT I am trying to install IC231 on RHEL 8 using installscape, however configuring keeps failing. I tried to run the configuration file manually as suggested in one of the previous posts and it gives me following errors: sh batch_configure.sh /home/rs/cadence/installs/IC231/install/tmp/slconfig.sh: line 165: xterm: command not foundcat: ncvhdl23.03-d103lnx86_101124125631.stat: No such file or directoryrm: cannot remove 'ncvhdl23.03-d103lnx86_101124125631.stat': No such file or directory/home/rs/cadence/installs/IC231/install/tmp/slconfig.sh: line 165: xterm: command not foundcat: ncvhdl64b23.03-d103lnx86_101124125631.stat: No such file or directoryrm: cannot remove 'ncvhdl64b23.03-d103lnx86_101124125631.stat': No such file or directory/home/rs/cadence/installs/IC231/install/tmp/slconfig.sh: line 165: xterm: command not foundcat: oaRedist22.61-p003lnx86_101124125631.stat: No such file or directoryrm: cannot remove 'oaRedist22.61-p003lnx86_101124125631.stat': No such file or directory/home/rs/cadence/installs/IC231/install/tmp/slconfig.sh: line 165: xterm: command not foundcat: amsEnv64b23.10-p043lnx86_101124125631.stat: No such file or directoryrm: cannot remove 'amsEnv64b23.10-p043lnx86_101124125631.stat': No such file or directory/home/rs/cadence/installs/IC231/install/tmp/slconfig.sh: line 165: xterm: command not foundcat: ihdl64b23.10-p043lnx86_101124125631.stat: No such file or directoryrm: cannot remove 'ihdl64b23.10-p043lnx86_101124125631.stat': No such file or directoryI am not very well versed with Linux at the moment but trying. Could any one suggest something or point to what is missing? Full Article
at Coordinates(bBoxes) of all the shapes(layers) in a layout view By community.cadence.com Published On :: Thu, 17 Oct 2024 18:58:34 GMT Hello Community, Is there any simple way how i can get the coordinates of all the shapes in a layout view? Currently i'm flattening the layout, getting all the lpps from CV and using setof to get all the shapes of a layer and looping through them to get the coordinates. Is there a way to do it without having to flatten the layout view and shapes merged or any other elegant way to do it if we flatten it? Also, dbWriteSkill doesn't give output how i desired Thanks, Shankar Full Article
at BER and EVM calculation By community.cadence.com Published On :: Sat, 19 Oct 2024 06:09:09 GMT Hi, I hope you are doing well. I have designed and simulated a PA system in Cadence using high-level blocks, which include both ideal components and some defined with Verilog-A. My goal is to calculate the Bit Error Rate (BER) and Error Vector Magnitude (EVM) in the system. I am using an LTE source from RFLib, and everything functions correctly in the transient simulation. To calculate these parameters, I intended to use envelope simulation. However, when I attempt to run the envelope simulation, I encounter convergence errors, which prevent it from working as expected. Given this issue, I believe I need to work with transient data instead. Could you please advise on how to approach this in Cadence without exporting the data to MATLAB? Thank you for your assistance. Full Article
at How to add custom indicators to Dynamic Display measuring HUD By community.cadence.com Published On :: Wed, 23 Oct 2024 20:31:55 GMT I am attempting to use dbGetNeighbor() function inside the dynamic display HUD so that the distance to the next metal on that layer could be viewed. Think of another line in this dynamic table here... My SKILL code is essentially the following: procedure(getNearestNeighborOnMetal(cv) let((direction tmpBoundingBox) direction = internal_function() tmpBoundingBox = dbCreateRect(geGetEditCellView() "tmp" list(hiGetCommandPoint() hiGetCommandPoint())) car(dbGetNeighbor(geGetEditCellView() tmpBoundingBox direction)) )) this returns the distance to the closest metal based on some tests. Next, I try to register this function to work in the Dynamic Display / Info Balloon world by executing odcRegisterCustomFunc() for each and every object type (I know, absurd, but trying to debug) In the dynamic display menu, I toggle the "Custom SKILL Function" check in layoutXL, then hit apply, then OK. After this I find I am unable to view the changes reflected in any info balloons or in the drawing HUD (above) for this wire. I have tried replacing my function with the sample "customFunc" from the odcRegisterCustomFunc() documentation and was still unable to produce any new output. Any help diagnosing the use of this feature would be very much appreciated Full Article
at How to create draw region button like the one used in the Area and Density calculator By community.cadence.com Published On :: Mon, 28 Oct 2024 23:47:16 GMT Hello, I would like to create a button for my form that prompts the user to click on a cellview and draw a rectangle bounding box, exactly like the one used in the Area and Density Calculator. Can someone please help me with this? Thanks! Beto Full Article
at Error ASSEMBLER-1600 when running script with two different MC simulations By community.cadence.com Published On :: Tue, 29 Oct 2024 08:59:49 GMT Hello Community, I have encountered an issue that is a mystery to me and hope somebody could give me a clue about what is happening in Cadence and maybe even a solution? I am running a test scripted in a SKILL file that sequentially opens two different projects with MC analyses and in between I get an error message box and also multiple logs in CIW with exactly the same text. Both projects run a simulation with a call like this: historyName = maeRunSimulation(?session sessionName ?waitUntilDone t) After this the script closes the current project, opens the next project and executes the same line with maeRunSimulation() for the second project. Then immediately this error message happens, and also is logged repeatedly in the CIW window The message box looks like this: The logs I get in CIW: nilhiCancelProgressBox(_axlNetlistCreateProgressBar)nilhiCancelProgressBox(_axlUILoadForm)nilwhen(dwindow('axlDataViewessWindow1) hiMapWindow(dwindow('axlDataViewessWindow1)))twhen(dwindow('axlRunSummaryessWindow1) hiMapWindow(dwindow('axlRunSummaryessWindow1)))tERROR (ASSEMBLER-1600): Cannot find an active session named fnxSession0.You can only modify an ADE Assembler session that is active.Perhaps the session name was misspelled or has not yet been created. Verify the session name matches an existing ADE Assembler session. 1> ERROR (ASSEMBLER-1600): Cannot find an active session named fnxSession0.You can only modify an ADE Assembler session that is active.Perhaps the session name was misspelled or has not yet been created. Verify the session name matches an existing ADE Assembler session. *WARNING* hiDisplayAppDBox: modal dbox 'adexlMessageDialog' is already displayed!ERROR (ASSEMBLER-1600): Cannot find an active session named fnxSession0.You can only modify an ADE Assembler session that is active.Perhaps the session name was misspelled or has not yet been created. Verify the session name matches an existing ADE Assembler session. *WARNING* hiDisplayAppDBox: modal dbox 'adexlMessageDialog' is already displayed!ERROR (ASSEMBLER-1600): Cannot find an active session named fnxSession0.You can only modify an ADE Assembler session that is active.Perhaps the session name was misspelled or has not yet been created. Verify the session name matches an existing ADE Assembler session. Full Article
at SKILL regex pattern matching By community.cadence.com Published On :: Fri, 01 Nov 2024 08:30:50 GMT Hi, I have a string "[@global_vddi:%:vddi!]" which I need to process to remove "@[]" chars. The desired result is "global_vddi:%:vddi!". I tried the following in CIW netExpr = "[@global_vddi:%:vddi!]"rexCompile("\([a-zA-Z0-9_:!%]+\)")trexExecute(netExpr)trexSubstitute( "\0" )"global_vddi:%:vddi!" and I achieved the desired value. I added the same code to my script but it didn't work. In my script rexExecute returns 't' but rexSubstitute returns 'nil' Here is the snippet from my script netExpr = dbGetTermNetExpr(term) if(netExpr then rexCompile("\([a-zA-Z0-9_:!%]+\)") rexExecute(netExpr) netExpr1 = rexSubstitute( "\0" ) ... . ..) and trace log showing the variable values as the code executes stopped before evaluating dbGetTermNetExpr(term)after evaluating dbGetTermNetExpr(term)==> "[@global_vddi:%:vddi!]"after evaluating (netExpr = dbGetTermNetExpr(term))==> "[@global_vddi:%:vddi!]"stopped before evaluating if(netExpr then rexCompile("\([a-zA-Z0-9_:!%]+\)") rexExecute(netExpr) (netExpr1 = rexSubstitute("\0")) ... )stopped before evaluating rexCompile("\([a-zA-Z0-9_:!%]+\)")after evaluating rexCompile("\([a-zA-Z0-9_:!%]+\)")==> tstopped before evaluating rexExecute(netExpr)after evaluating rexExecute(netExpr)==> tstopped before evaluating (netExpr1 = rexSubstitute("\0"))stopped before evaluating rexSubstitute("\0")after evaluating rexSubstitute("\0")==> nil|[2]netExpr1 set to nil, was nil Any help or suggestions as to why the code executes differently in CIW and when called from a SKILL script file will be much appreciated. I also tried a different approach using rexReplace instead of rexSubstitute but couldn't get the regex pattern correct. The code I tried in CIW using rexReplace is as follows a = "[@global_vddi:%:vddi!]""[@global_vddi:%:vddi!]"rexCompile("\([@\[\]]*\)")trexReplace(a "" 0)"global_vddi:%:vddi!]" Only '@[' get replaced and ']' is still present. The regex pattern contains '\]' to match the closing square bracket yet it is not replaced. Please let me know what I'm missing in these 2 scenarios. Any help is much appreciated!! Regards, Confused SKILL user Full Article
at DRC warning when use abConvertPolygonToPath.ils code By community.cadence.com Published On :: Mon, 04 Nov 2024 21:34:25 GMT Hi All, I'm using a code (abConvertPolygonToPath.ils) that I found in other posts to convert a rect object to a path object inside a pcell code, but when I try to run a DRC, the layout export fails due to a warning message, here is the log message *WARNING* (DB-270001): Pcell evaluation for 18A_asaavedr/lay_mesh_BM0_BM4_3p6_3p6/layout has the following error(s): *WARNING* (DB-270002): ("eval" 0 t nil ("*Error* eval: undefined function" abConvertPolygonToPath)) ERROR (XOASIS-231): Pcell evaluation failed for '18A_asaavedr/lay_mesh_BM0_BM4_3p6_3p6/layout' because the Pcell SKILL code contains either a syntax error or an unsupported XOasis function. Check the standard output or the Virtuoso log file for more information. Cadence recommends correcting the Pcell SKILL code to resolve the issue. However, to ignore these errors and continue the translation, you may use the 'ignorePcellEvalFail' option. INFO (XOASIS-282): Translation Failed. '1' error(s) and '3' warning(s) found. And when compile the code I get the following message: *WARNING* defgeneric function already defined - abConvertPolygonToPath I will aprreciate any help in how to waive this error, or fix it. Thank you Full Article
at Flattening techLib VIA0/VIA1 By community.cadence.com Published On :: Tue, 05 Nov 2024 06:54:16 GMT Hi Team, I am using the following command in my SKILL script to flatten the hierarchical layouts, it's working fine for all the instances and mosaics but not for techLib via's please help me with the command to use for flattening the techLib via. dbFlattenInst( inst 2 nil) dbFlattenInst( inst1 2 t t nil nil t t) Regards, MT. Full Article
at How to restrict the variable's data type of procedure with @key By community.cadence.com Published On :: Fri, 08 Nov 2024 02:37:35 GMT Hi, I want to define a procedure that with @key, and I also want to restrict the variable's datatype, I tried with folloing but I received error in CIW procedure(tt(handler @key str1 str2 "ssS") printf("handler: %L " handler)) tt('test) The error is like: *Error* tt: argument for keyword ?str1 should be a symbol (type template = "ssS") at line 11 of file Thanks, James Full Article
at Cross-probe between layout veiw and schematic view By community.cadence.com Published On :: Tue, 12 Nov 2024 22:52:10 GMT Hi there I am trying to make cross-probe btw layout and schematic view. so when I execute the code in schematic using bindkey, the code will raise the layout view (hiRaiseWindow) and then I want to descend to the same hierarchy as schematic. (geSelectFig, leHiEditInPlace) But looks like current cellview still stays at schematic view. I got this error msg, and when I print current cell view name at where I got this msg, it replys schematic. *Error* geSelectFig: argument #1 should be a database object (type template = "d") - nil is there any way to change the current cellview to layout view? I also added this code, but didn't work. geGetEditCellView(geGetCellViewWindow(cvId)) ;cvId is layout view I don't want to close the schematic view, just want to move the focus or make geSelectFig works. Thanks in advance. Full Article
at μWaveRiders: Setting Up a Successful AWR Design Environment Design - UI and Simulation By community.cadence.com Published On :: Thu, 25 Aug 2022 02:26:00 GMT When starting a new design, it's important to take the time to consider design recommendations that prevent problems that can arise later in the design cycle. This two-part compilation of guidelines for starting a new design is the result of years of Cadence AWR Design Environment platform Support experience with designs. Pre-design decisions for user interface, simulation, layout, and library configuration lay the groundwork for a successful and efficient AWR design. This blog covers the user interface (UI) and simulation considerations designers should note prior to starting a design.(read more) Full Article Circuit simulation multi-processor AWR Design Environment test bench EM simulation UI RF design X-model microwave office Visual System Simulator (VSS) EM-based model
at μWaveRiders: Thermal Analysis for RF Power Applications By community.cadence.com Published On :: Thu, 22 Sep 2022 08:27:00 GMT Thermal analysis with the Cadence Celsius Thermal Solver integrated within the AWR Microwave Office circuit simulator gives designers an understanding of device operating temperatures related to power dissipation. That temperature information can be introduced into an electrothermal model to predict the impact on RF performance.(read more) Full Article CFD RF Simulation featured Circuit simulation AWR Design Environment awr Cadence Celsius Thermal Analysis microwave office electrothermal models thermal solver
at New Training Courses for RF/Microwave Designers Featuring Cadence AWR Software By community.cadence.com Published On :: Mon, 03 Oct 2022 03:00:00 GMT Cadence AWR Design Environment Software Featured in Multiple Training Course Options: Live and Virtual Starting in October(read more) Full Article featured AWR Design Environment microwave design
at μWaveRiders: Scoring Goals with the Latest AWR Design Environment Optimizer By community.cadence.com Published On :: Mon, 21 Nov 2022 09:55:00 GMT AWR V22.1 software introduces the Pointer-Hybrid optimization method which uses a combination of optimization methods, switching back and forth between methods to efficiently find the lowest optimization error function cost. The optimization algorithm automatically determines when to switch to a different optimization method, making this a superior method over manual selection of algorithms. This method is particularly robust in regards to finding the global minima without getting stuck in a local minima well.(read more) Full Article featured AWR Design Environment Pointer-Hybrid optimizer RF design microwave office global minima Optimization cost Optimizer goals Optimizer methods
at Knowledge Booster Training Bytes - The Close Connection Between Schematics and Their Layouts in Microwave Office By community.cadence.com Published On :: Wed, 04 Jan 2023 04:03:00 GMT Microwave Office is Cadence’s tool-of-choice for RF and microwave designers designing everything from III-V 5G chips, to RF systems in board and package technologies. These types of designs require close interaction between the schematic and its layout. A new Training Byte demonstrates how the schematic-layout connections is built into Microwave Office.(read more) Full Article RF RF Simulation RF designer AWR customization RF design microwave office
at Knowledge Booster Training Bytes - Working with Data Sets in Microwave Office By community.cadence.com Published On :: Fri, 06 Jan 2023 19:39:00 GMT Data sets are a powerful and easy-to-use feature in Microwave Office. Data can be effortlessly be swapped in graphs, and circuit schematics.(read more) Full Article RF Simulation AWR Design Environment awr AWR customization AWR Microwave Office microwave office
at Training Webinar: Microwave Office - Comprehensive RF and Microwave Design Creation By community.cadence.com Published On :: Tue, 13 Jun 2023 04:56:00 GMT A training webinar on Microwave Office will be given June 27, 2023. The emphasis will be on EM simulation.(read more) Full Article RF RF Simulation awr EM simulation webinar AWR AXIEM RF design AWR Microwave Office microwave office
at Training Webinar: Microwave Office: An Integrated Environment for RF and Microwave Design By community.cadence.com Published On :: Thu, 07 Sep 2023 06:08:00 GMT A recording of a training webinar on Microwave Office is available. Topics show the design environment, with special emphasis placed on electromagnetic (EM) simulation. Normal 0 false false false EN-US JA X-NONE ...(read more) Full Article
at Training Insights New Course: Planar EM Simulation in AWR Microwave Office By community.cadence.com Published On :: Mon, 30 Oct 2023 18:44:00 GMT New online training course for AXIEM EM Simulator in AWR Microwave Office is available.(read more) Full Article awr EM simulation AWR AXIEM AWR Microwave Office AXIEM 3D Planar Simulator microwave office