ac Force cell equivalence between same-footprint and same-functionality hard-macros in Conformal LEC By feedproxy.google.com Published On :: Thu, 14 Nov 2019 19:13:48 GMT For a netlist vs. netlist LEC flow we have to solve the following problem: - in the RTL code we replicate a large array of N x M all-identical hard-macros, let call them MACRO_A - MACRO_A is pre-assembled in Innovus and contains digital parts and analog parts (bottom-up hierarchical flow) - at top-level (full-chip) we instantiate this array of all-identical macros - in the top-level place-and-route flow we perform ecoChangeCell to remaster the top row of this array with MACRO_B - MACRO_B is just a copy of the original MACRO_A cell containing same pins position, same internal digital functionality and also same digital layout, only slight differences in one analog block inside the macro - MACRO_A and MACRO_B have the same .lib file generated with the do_extract_model command at the end of the Innovus flow, they only differ in the name of the macro - when performing post-synthesis netlist vs post-place-and-route we load .lib files of both macros in Conformal LEC - the LEC flow fails because Conformal LEC sees only MACRO_A instantiated in the post-synthesis netlist and both MACRO_A and MACRO_B in the post-palce-and-route netlist Since both digital functionality and STD cells layout are the same between MACRO_A and MACRO_B we don't want to keep track of this difference already at RTL stage, we just want to perform this ECO change in place-and-route and force Conformal to assume equivalence between MACRO_A and MACRO_B . Basically what I'm searching for is something similar to the add_instance_equivalences Conformal command but that works between Golden and Revised designs on cell primitives/black-boxes . Is this flow supported ? Thanks in advance Luca Full Article
ac hiCreateAppForm with scrollbars and attachmentList By feedproxy.google.com Published On :: Thu, 30 Apr 2020 21:20:36 GMT Hello, I have created an appForm with the following attachmentList and size: ?attachmentList list(hicLeftPositionSet | hicRightPositionSet ; field 1 hicLeftPositionSet | hicRightPositionSet ; field 2etc. ?initialSize 800:800 ?minSize 800:800 ?maxSize 1600:800 If I reduce the minimum y-size (?minSize 800:200), scrollbars are not inserted, unless I remove the attachmentList constraints. Is it possible to have both scrollbars and "hicLeftPositionSet | hicRightPositionSet"? Thank you, Best regards, Aldo Full Article
ac Skill code to disable all callbacks By feedproxy.google.com Published On :: Wed, 06 May 2020 11:40:02 GMT Can anybody assist with a Skill code /function to disable all callbacks Full Article
ac When Arm meets Intel – Overcoming the Challenges of Merging Architectures on an SoC to Enable Machine Learning By feedproxy.google.com Published On :: Fri, 29 Sep 2017 19:59:59 GMT As the stakes for winning server segment market share grow ever higher an increasing number of companies are seeking to grasp the latest Holy Grail of multi-chip coherence. The approach promises to better enable applications such as machine learning...(read more) Full Article SoC verification perspec system verifier Accellera pss portable stimulus
ac Preparing Accellera Portable Stimulus Standard for Ratification By feedproxy.google.com Published On :: Tue, 13 Mar 2018 15:35:00 GMT The Accellera Portable Stimulus Working Group met at the DVCon 2018 to move the process forward towards ratification. While we can't predict exactly when it will be ratified, the goal is now more clearly in sight! Cadence booth was busy with a lo...(read more) Full Article pswg Perspec perspec system verifier pss portable stimulus
ac AMIQ and Cadence demonstrate Accellera PSS v1.0 interoperability By feedproxy.google.com Published On :: Thu, 12 Jul 2018 00:04:00 GMT There’s nothing like the heat of a DAC demo to stress new technology and the engineers behind it! Such was the case at DAC 2018 at the new locale of Moscone Center West, San Francisco. Cadence and AMIQ were two of several vendors who announced ...(read more) Full Article Perspec perspec system verifier AMIQ Accellera pss portable stimulus
ac Perspec Portable Stimulus Hands-On Workshop at DAC 2018 By feedproxy.google.com Published On :: Fri, 20 Jul 2018 22:54:00 GMT Cadence pulled a fast one at DAC 2018, almost like a bait and switch. We advertised a hands-on workshop to learn about Accellera Portable Stimulus Specification (PSS) v1.0. But we made participants compete head to head, for prizes, and their pride! T...(read more) Full Article Perspec AMIQ pss portable stimulus
ac DAC 2019 Preview – Multi-MHz Prototyping for Billion Gate Designs, AI, ML, 5G, Safety, Security and More By feedproxy.google.com Published On :: Wed, 29 May 2019 23:45:00 GMT Vegas, here we come. All of us fun EDA engineers at once. Be prepared, next week’s Design Automation Conference will be busy! The trends I had outlined after last DAC in 2018—system design, cloud, and machine learning—have...(read more) Full Article security 5G DAC DAC2019 prototyping palladium z1 Safety tortuga logic Protium Emulation ARM AI
ac Chiplet Interface for Heterogeneous SiP By feedproxy.google.com Published On :: Thu, 17 Oct 2019 07:38:18 GMT https://community.cadence.com/cadence_blogs_8/b/breakfast-bytes/posts/cowos-info I came across cadence old article that discussing about TSMC advance packaging technology such as InFO & CoWoS. However, I couldn’t find information such as what I/O interface standard is required to realize this multi-chip SiP. For example, Intel using their proprietary AIB interface for EMIB solution. Besides, any idea if inFO also able to supports multi-chip integration for older node process to new node process such as 40-nm to 16-nm? Full Article
ac How to check a cluster of same net vias spacing, with have no shape or cline covered By feedproxy.google.com Published On :: Fri, 14 Feb 2020 04:12:15 GMT Hi all, I have a question regarding the manufacture : how to check a cluster of same net vias spacing, with have no shape or cline covered Full Article
ac IC Packagers: Shape Connectivity in the Allegro Data Model By community.cadence.com Published On :: Tue, 28 Apr 2020 13:14:00 GMT Those who work in the IC Packaging design space have some unique challenges. We bridge between the IC design world (90/45-degree traces with rectangular and octagonal pins) and the PCB domain... [[ Click on the title to access the full blog on the Cadence Community site. ]] Full Article
ac RAMAC Park and the Origin of the Disk Drive By community.cadence.com Published On :: Wed, 29 Apr 2020 12:00:00 GMT Did you know that there is a park in San Jose named after a disk drive? Actually, technically it is named after the first computer that used disk drives. You couldn't just go and buy a disk drive... [[ Click on the title to access the full blog on the Cadence Community site. ]] Full Article
ac Specman’s Callback Coverage API By community.cadence.com Published On :: Thu, 30 Apr 2020 14:30:00 GMT Our customers’ tests have become more complex, longer, and consume more resources than before. This increases the need to optimize the regression while not compromising on coverage. Some advanced... [[ Click on the title to access the full blog on the Cadence Community site. ]] Full Article
ac Library Characterization Tidbits: Recharacterize What Matters - Save Time! By community.cadence.com Published On :: Thu, 30 Apr 2020 14:50:00 GMT Recently, I read an article about how failure is the stepping stone to success in life. It instantly struck a chord and a thought came zinging from nowhere about what happens to the failed arcs of a... [[ Click on the title to access the full blog on the Cadence Community site. ]] Full Article
ac Start Your Engines: AMSD Flex – Your Instant Access to Latest Spectre Features! By community.cadence.com Published On :: Fri, 01 May 2020 06:59:00 GMT Cadence ® Spectre ® AMS Designer is a high-performance mixed-signal simulation system. The ability to use multiple engines, and drive from a variety of platforms enables you to "rev... [[ Click on the title to access the full blog on the Cadence Community site. ]] Full Article
ac IC Packagers: Advanced In-Design Symbol Editing By community.cadence.com Published On :: Wed, 06 May 2020 14:09:00 GMT We have talked about aspects of the in-design symbol edit application mode in the past. This is the environment specific to the Allegro® Package Designer Plus layout tools allowing you to work... [[ Click on the title to access the full blog on the Cadence Community site. ]] Full Article
ac BoardSurfers: Training Insights: Placing Parts Manually Using Design for Assembly (DFA) Rules By community.cadence.com Published On :: Fri, 08 May 2020 14:41:00 GMT If I talk about my life, it was much simpler when I used to live with my parents. They took good care of whatever I wanted - in fact, they still do. But now, I am living alone, and sometimes I buy... [[ Click on the title to access the full blog on the Cadence Community site. ]] Full Article
ac Tales from DAC: Cadence, AI, and You By feedproxy.google.com Published On :: Thu, 18 Jul 2019 15:24:00 GMT Complexity is driving the urgency for advanced artificial intelligence systems more than ever—and that means someone has to supply the tools to create those systems. Cadence is up to the task: we’ve been expanding our AI offerings. If you haven’t already seen what Cadence can do for your AI needs, or if you’re not quite up-to-date on this whole AI boom, let this presentation given by K.T. Moore at the Cadence Theater at DAC bring you up to speed. The technology behind AI isn’t as new as you’d think—the principles that govern how AI learns have been in development since 1959, when Arthur Samuel defined the concept of “machine learning.” At the time, there was nothing even resembling the necessary compute power to put Samuel’s concepts into practice—but now we can. AI designs are huge, and they’re massively parallel—simulating them on older computers and simulators would have taken ages; never mind how long it would take to do some by-hand measure like they had to do in the '60s. But with advancements in server technology and the parallelization technology in products like Xcelium Parallel Logic Simulator and JasperGold smart technology, plus hardware-based engines like the Palladium and Protium platforms, verifying AI designs is not only possible—it’s easy. But, read on, its not just about simulation technology. AI tech is flooding the industry. It’s applicable to almost every vertical—cloud computing can use AI to intelligently manage a user’s required resources, consumer electronics are using it to tailor a user experience based on a whole host of collected data, automotive companies want to use AI to drive cars, healthcare to assist in diagnoses given a set of symptoms and a database of other, similar patients—and that’s saying nothing of the multitude of industrial applications. AI is also useful in the creation of developers’ tools themselves. Part of what’s causing the semiconductor industry boom is just this—an exploding interest in AI chips. And with 5G technology imminent, and with the looming billion-gate plus sizes of the SoCs that implement 5G, AI-assisted developers' tools might need to become the norm, not an outlier. So: in all of this, where is Cadence? Cadence is focusing its efforts on two areas, dubbed “machine learning inside” and “machine learning outside.” ML inside in the digital design flow refers to improving PPA, faster engines, and better testing and diagnostics. None of this physically affects how you use a tool, but it makes using that tool a much better experience. ML outside talks about the design flow in general, working toward an automated design flow, as well as productivity improvements across the flow. These things do change how you use a tool, but don’t worry, it’s all for the better. Additionally, Cadence is working to improve design enablement; that is, hardware and software co-design. Smart Genus and Innovus solutions make designing your SoC easier than ever—using the full flow can result in up to a 21% PPA gain. If you’re looking specifically for IP to enable AI on your SoC, the Tensilica DNA 100 processor has you covered, too. It’s great for companies designing edge or AI chips, offers great compression rates and efficient power usage, and has 4.7X the performance of other AI SoC IP on similar array sizes. Cadence has you covered no matter where you’re going in this new world of AI systems—with our AI-enabled tools, IP, and our strong partner ecosystem, you can be at ease knowing you’ll be supported no matter how complex your needs are. Full Article Functional Verification Cadence Theater DAC 2019 Tensilica AI
ac Tales from DAC: Semiconductor Design in MY Cloud? It's More Likely Than You Think By feedproxy.google.com Published On :: Wed, 24 Jul 2019 21:13:00 GMT Everyone keeps talking about “the cloud” this and “the cloud” that these days—but you’re a semiconductor designer. Everyone keeps saying “the cloud” is revolutionizing all aspects of electronics design—but what does it mean for you? Cadence's own Tom Hackett discussed this in a presentation at the Cadence Theater during DAC 2019. What people refer to as “the cloud” is commonly divided into three categories: Infrastructure as a Service (IaaS), Platform as a Service (PaaS), and software as a Service (SaaS). With IaaS, you bring your own software—i.e. loading your owned or appropriately licensed tools onto cloud hardware that you rent by the minute. This service is available from providers like Google Cloud Platform, Amazon Web Service, and Microsoft Azure. In PaaS (also available from the major cloud providers), you create your own offering using capabilities and a software design environment provided by the cloud vendor that makes subsequent scaling and distribution really easy because the service was “born in the cloud”. Lastly, there’s SaaS, where the cloud is used to access and manage functionality and data without requiring users to set up or manage any of the underlying infrastructure used to provide it. SaaS companies like Workday and Salesforce deliver their value in this manner. The Cadence Cloud portfolio makes use of both IaaS and SaaS, depending on the customers’ interest. Cadence doesn’t have PaaS offerings because our customers don’t create their own EDA software from building blocks that Cadence provides. All of these designations are great, but you’re a semiconductor designer. Presumably you use Workday or some similar software, or have in the past when you were an intern, but what about all of your tools? Those aren’t on the cloud. Wait—actually, they are. Using EDA tools in the cloud allows you to address complexity and data explosion issues you would have to simply struggle through before. Since you don’t have to worry about having the compute-power on-site, you can use way more power than you could before. You may be wary about this new generation of cloud-based tools, but don’t worry: the old rules of cloud computing no longer apply. Cloud capacity is far larger than it used to be, and it’s more secure. Updates to scheduling software means that resource competition isn’t as big of a deal anymore. Clouds today have nearly unlimited capacity—they’re so large that you don’t ever need to worry about running out of space. The vast increase in raw compute available to designers through the cloud makes something like automotive functional safety verification, previously an extremely long verification task, doable in a reasonable time frame. With the cloud, it’s easy to scale the amount of compute you’re using to fit your task—whether it’s an automotive functional safety-related design or a small one. Nowadays, the Cadence Cloud Portfolio brings you the best and brightest in cloud technology. No matter what your use case is, the Cadence Cloud Portfolio has a solution that works for you. You can even access the Palladium Cloud, allowing you to try out the benefits of an accelerator without having to buy one. Cloud computing is the future of EDA. See the future here. Full Article DAC 2019 Semiconductor cadence cloud
ac Tales from DAC: Altair's HERO Is Your Hero By feedproxy.google.com Published On :: Mon, 29 Jul 2019 21:07:00 GMT Emulators are great. They vastly speed up verification to the point where it’s hard to imagine life without them; as designs grow in complexity, simple simulation can’t keep up for the biggest designs. The extra oomph from emulation is almost a necessity for the top percentages of design sizes. However, many users of Palladium aren’t efficiently using their unit’s processing power, and as a result they’re missing out on the full speed-up potential that Palladium can provide. Altair’s HERO is here for you. With its help, your Palladium unit can be even more amazing for your productivity than before. HERO (that’s Hardware Emulator Resource Optimizer) adds emulator support to Altair’s Accelerator. You already know and love Altair’s scheduling tools; so why not make them do more for you, so you can be one of those people who are making the most out of their Palladium system? Emulators are kind of like big computers, but it’s a lot harder to manage leftover resources on an emulator than it is on, say, a CPU. A scheduler like HERO neatly sidesteps this problem by more intelligently using the resources available to ensure that there’s a minimal patchwork of leftover resources to begin with. HERO supports past generations of Palladium as well, so if you’re still using an older version, you can still take advantage of the upgrades HERO provides. There’s a wide variety of features HERO has that make your emulator easier to use. HERO separates a job into a “select” section and a “run” section: the “select” part makes a last-minute decision on which domains or boards to use, while the “run” part is the actual job. This makes it easier to ensure that your Palladium emulator is being used as efficiently as possible. Jobs are placed using “shapes”, which are a set of job types; these can be selected from a list of pre-defined ones by the user. Shapes can have special constraints if those are needed. A new reservation system also helps HERO organize Palladium’s processing power better. HERO offers both “hard” reservations and “soft” reservations. A hard reservation locks other users out of reserving any part of the emulator at all, while a soft reservation allows a user to reserve a part of the emulator for a later use. Think of it like this: a soft reservation is like grabbing a ticket from the deli counter, while a hard reservation stops you from ever entering the market. When using HERO, you can manage your entire verification workload. You’ll find that your utilization of your emulator vastly increases—it’s been reported that some users using only 30% of the capabilities of their Palladium unit(s) saw a massive increase to over 90% once they made the switch to HERO. If you’re ready to take your Palladium productivity to the next level, Altair has a HERO for you. To see the full presentation given by Andrea Casotto in the Cadence Theater at DAC 2019, check here. Full Article Cadence Theater HERO Palladium Altair Engineering DAC 2019
ac RAK Attack: Better Driver Tracing, Faster Palladium Build Time, UVM Register Map Automation By feedproxy.google.com Published On :: Sun, 15 Mar 2020 00:52:00 GMT Looking to learn? There's a bunch of new RAKs (Rapid Adoption Kits) available online now! 1) Indago 19.09 Better Driver Tracing and More Are you new to Indago and not sure where to start? Luckily, there’s a new Rapid Adoption Kit for you: the Indago 19.09 Overview RAK! This neat package contains everything you need to get your debugging started through Indago. In four short labs, plus a brief introductory lab, you’ll have all the basics of Indago 19.09 down—the Indago working environment, the SmartLog, how Indago interacts with the rest of the Cadence Verification Suite, and how Indago uses HDL driver tracing. Lab 1 discusses the various debugging tools included in Indago and teaches you how to customize your Indago windows and environment settings. Lab 2 covers the SmartLog feature and talks about analyzing and filtering its messages to suit your needs, as well as how to interact with the waveform marker. Lab 3 is an interactive Indago debugging experience—it’ll walk you through how to use Indago and its features in an actual working environment: setting breakpoints, using simulator commands in the Indago console, toolbars, switches, and more. Lab 4 is all things HDL tracing—recording debug data, an introduction to debug assertions, waveform visualizations, driving expression analysis, and single-step driver tracing, among other things. Interested? Check out the RAK here. 2) IXCOM MSIE: Faster Palladium Build Time Got several testbenches you want to compile with the same DUT and tests and you want to do it fast? With IXCOM, all you have to do to compile those different testbenches is use the xrun command for each after compiling your DUT. But what exactly is IXCOM, and how does one start using it? This quick RAK can help—here, you’ll learn the basics of using MSIE features with IXCOM, complete with an example to get you started. Using MSIE can vastly improve your build times with Palladium and using IXCOM is the best way to shrink that tedious rebuild time as small as it can get. Check out this RAK here. 3) JasperGold Control and Status Register Verification App Automates UVM Register Map Verification New to the JasperGold Control and Status Register (CSR) Verification App for your UVM testbenches? Don’t worry; there’s a RAK for that! This eponymous RAK can get you up and running with this in no time, helping you automate your checks from UVM register map specs. With this RAK, you’ll learn the basics of the JasperGold CSR, how to use JasperGold CSR’s Proof Accelerator, and more. CSR features a model-based approach to predicting a register’s expected value, supports pipeline interfaces, all IP-XACT access policies, and it can fully model any expected register value. It also supports register aliases, read and write semantics, and separate read/write data latencies in any given field. If this functionality sounds up your alley, you can take a look at this RAK here. Full Article Rapid Adoption Kit IXCOM RAK Indago JasperGold
ac Specman’s Callback Coverage API By feedproxy.google.com Published On :: Thu, 30 Apr 2020 14:30:00 GMT Our customers’ tests have become more complex, longer, and consume more resources than before. This increases the need to optimize the regression while not compromising on coverage. Some advanced customers of Specman use Machine Learning based solutions to optimize the regressions while some use simpler solutions. Based on a request of an advanced customer, we added a new Coverage API in Specman 19.09 called Coverage Callback. In 20.03, we have further enhanced this API by adding more options. Now there are two Coverage APIs that provide coverage information during the run (the old scan_cover API and this new Callback API). This blog presents these two APIs and compares between them while focusing on the newer one. Before we get into the specifics of each API, let’s discuss what is common between these APIs and why we need them. Typically, people observe the coverage model after the test ends, and get to know the overall contribution of the test to the coverage. With these two APIs, you can observe the coverage model during the test, and hence, get more insight into the test progress. Are you wondering about what you can do with this information? Let’s look at some examples. Recognize cases when the test continues to run long after it already reached its coverage goal. View the performance of the coverage curve. If a test is “stuck” at the same grade for a long time, this might indicate that the test is not very good and is just a waste of resource. These analyses can be performed in the test itself, and then a test can decide to either stop the run, or change something in it configuration, or – post run. You can also present them visually for some analysis, as shown in the blog: Analyze Your Coverage with Python. scan_cover API (or “Scanning the Coverage Model”) With this API you can get the current status for any cover group or item you are interested in at any point in time during the test (by calling scan_cover()). It is very simple to use; however it has performance penalty. For getting the coverage grade of any cover group during the test, you should1. Trigger the scan_cover at any time when you want the coverage model to be scanned.2. Implement the scan_cover related methods, such as start_item() and end_bucket(). In these methods, you can query the current grade of group/item/bucket.The blog mentioned earlier: Analyze Your Coverage with Python describes the details and provides an example. Callback API The Callback API enables you to get a callback for a desired cover group(s), whenever it is sampled. This API also provides various query methods for getting coverage related information such as what the current sampled value is. So, in essence, it is similar to scan_cover API, but as the phrase says: “same same but different”: Callback API has almost no performance penalty while scan_cover API does. Callback API contains a richer set of query methods that provide a lot of information about the current sampled value (vs just the grade with scan_cover). Using scan_cover API, you decide when you want to query the coverage information (you call scan_cover), while with the Callback API you query the coverage information when the coverage is sampled (from do_callback). So, scan_cover gives you more flexibility, but you do need to find the right timing for this call. There is no absolute advantage of either of these APIs, this only depends on what you want to do. Callback API details The Callback API is based on a predefined struct called: cover_sampling_callback. In order to use this API, you need to: Define a struct inheriting cover_sampling_callback (cover_cb_save_data below) Extend the predefined do_callback() method. This method is a hook being called whenever any of the cover groups that are registered to the cover_sampling_callback instance is being sampled. From do_callback() you can access coverage data by using queries such as: is_currently_per_type(), get_current_group_grade() and get_current_cover_group() (as in the example below) and many more such as: get_relevant_group_layers() and get_simple_cross_sampled_bucket_name(). Register the desired cover group(s) to this struct instance using the register() method. Take a look at the following code: // Define a coverage callback.// Its behavior – print to screen the current grade.struct cover_cb_save_data like cover_sampling_callback { do_callback() is only { // In this example, we care only about the per_type grade, and not per_instance if is_currently_per_type() { var cur_grade : real = get_current_group_grade(); sys.save_data (get_current_cover_group().get_name(), cur_grade); };//if };//do_callback()};// cover_cb_send_dataextend sys { !cb : cover_cb_save_data; // Instantiate the coverage callback, and register to it two of my coverage groups run() is also { cb = new with { var gr1:=rf_manager.get_struct_by_name("packet").get_cover_group("packet_cover"); .register(gr1); var gr2:=rf_manager.get_struct_by_name("sys").get_cover_group("mem_cover"); .register(gr2); };//new };//run() save_data(group_name : string, group_grade : real) is { //here you either print the values to the screen, update a graph you show or save to a db };// save_data};//sys In the blog Analyze Your Coverage with Python mentioned above, we show an example of how you can use the scan_cover API to extract coverage information during the run, and then use the Specman-Python API to display the coverage interactively during the run (using plotting Python library - matplotlib). If you find this usage interesting and you want to use the same example, by the Callback API instead of the scan_cover API, you can download the full example from GIT from here: https://github.com/efratcdn/cover_callback. Specman Team Full Article Specman/e Specman coverage engine coverage Specman e specman elite Coverage Driven Verification
ac BoardSurfers: Training Insights: Creating Custom Reports using ‘Extract’ By feedproxy.google.com Published On :: Wed, 11 Mar 2020 16:45:00 GMT You must deal with many reports in your daily life – for your health, financial accounts, credit, your child’s academic records, and the count goes on. Ever noticed that these reports contain many details, most of which you don’t wa...(read more) Full Article Allegro PCB Editor
ac BoardSurfers: Five Easy Steps to Create Footprints Using Packages in Library Creator By feedproxy.google.com Published On :: Thu, 16 Apr 2020 14:19:00 GMT In my previous blog, I talked about creating a footprint using an existing template in Allegro ECAD-MCAD Library Creator and explained how easily you can access an existing template and create a package from it by just clicking a button. In this blog...(read more) Full Article Library Creator PCB Editor 17.4-2019 ECAD-MCAD Library Creator PCB design
ac BoardSurfers: Training Insights: Placing Parts Manually Using Design for Assembly (DFA) Rules By feedproxy.google.com Published On :: Fri, 08 May 2020 14:41:00 GMT So, what if you can figure out all that can go wrong when your product is being assembled early on? Not guess but know and correct at an early stage – not wait for the fabricator or manufacturer to send you a long report of what needs to change. That’s why Design for Assembly (DFA) rules(read more) Full Article Allegro PCB Editor
ac Mixed-signal and Low-power Demo -- Cadence Booth at DAC By feedproxy.google.com Published On :: Fri, 31 May 2013 18:11:00 GMT DAC is right around the corner! On the demo floor at Cadence® Booth #2214, we will demonstrate how to use the Cadence mixed-signal and low-power solution to design, verify, and implement a microcontroller-based mixed-signal design. The demo design architecture is very similar to practical designs of many applications like power management ICs, automotive controllers, and the Internet of Things (IoT). Cadene tools demonstrated in this design include Virtuoso® Schematic Editor, Virtuoso Analog Design Environment, Virtuoso AMS Designer, Virtuoso Schematic Model Generator, Virtuoso Power Intent Assistant, Incisive® Enterprise Simulator with DMS option, Virtuoso Digital Implementation, Virtuoso Layout Suite, Encounter® RTL Compiler, Encounter Test, and Conformal Low Power. An extended version of this demo will also be shown at the ARM® Connected Community Pavilion Booth #921. For additional highlights on Cadence mixed-signal and low-power solutions, stop by our booth for: The popular book, Mixed-signal Methodology Guide, which will be on sale during DAC week! A sneak preview of the eBook version of the Mixed-signal Methodology Guide Customer presentations at the Cadence DAC Theater 9am, Tuesday, June 4 ARM Low-Power Verification of A15 Hard Macro Using CLP 10:30am, Tuesday, June 4 Silicon Labs Power Mode Verification in Mixed-Signal Chip 12:00pm, Tuesday, June 4 IBM An Interoperable Flow with Unified OA and QRC Technology Files 9am, Wednesday, June 5 Marvell Low-Power Verification Using CLP 4pm, Wednesday, June 5 Texas Instruments An Inter-Operable Flow with Unified OA and QRC Technology Files Partner presentations at the Cadence DAC Theater 10am, Monday, June 3 X-Fab Rapid Adoption of Advanced Cadence Design Flows Using X-FAB's AMS Reference Kit 3:30pm, Monday, June 3 TSMC TSMC Custom Reference Flow for 20nm - Cadence Track 9:30am,Tuesday, June 4 TowerJazz Substrate Noise Isolation Extraction/Model Using Cadence Analog Flow 12:30pm, Wednesday, June 5 GLOBALFOUNDRIES 20nm/14nm Analog/Mixed-signal Flow 2:30pm, Wednesday, June 5 ARM Cortex®-M0 and Cortex-M0+: Tiny, Easy, and Energy-efficient Processors for Mixed-signal Applications Technology sessions at suites 10am, Monday, June 3 Low-power Verification of Mixed-signal Designs 2pm, Monday, June 3 Advanced Implementation Techniques for Mixed-signal Designs 2pm, Monday, June 3 LP Simulation: Are You Really Done? 4pm, Monday, June 3 Power Format Update: Latest on CPF and IEEE 1801 11am, Wednesday, June 5 Mixed-signal Verification 11am, Wednesday, June 5 LP Simulation: Are You Really Done? 4pm, Wednesday, June 5 Successful RTL-to-GDSII Low-Power Design (FULL) 5pm, Wednesday, June 5 Custom/AMS Design at Advanced Nodes We will also have three presentations at the Si2 booth (#1427): 10:30am, Monday, June 3 An Interoperable Implementation Solution for Mixed-signal Design 11:30am, Tuesday, June 4 Low-power Verification for Mixed-signal Designs Using CPF 10:30am, Wednesday, June 5 System-level Low-power Verification Using Palladium We have a great program at DAC. Click the link for complete Cadence DAC Theater and Technology Sessions. Look forward to seeing you at DAC! Full Article DAC Low Power microcontrollers IBM Palladium Mixed Signal Verification Incisive mixed-signal low-power encounter Low Power Mixed Signal Verification Virtuoso Internet of Things low-power design mixed signal GlobalFoundries ARM Design Automation Conference microcontroller
ac IEEE 1801/UPF Tutorial from Accellera—Watch and Learn By feedproxy.google.com Published On :: Wed, 18 Dec 2013 15:17:00 GMT If you weren't able to attend the 2013 DVCon, you missed out on a great IEEE 1801/UPF tutorial delivered by members of the IEEE committee. Accellera had the event recorded and that recording is now posted on the Accellera.org website. Regardless of your work so far with low power design and verification, you need to watch this video. Power management is becoming ubiquitous in our world. The popular aspect is that reduced power is good for the evironment and that is true. But for those teams that have been building chips around the 40nm node and below, there is another truth. Power management is required simply to get working silicon in many cases. As the industry expands the number of designs with power management and forges deeper into advanced nodes, we steadily identify improvements to the power format descriptions. The most recent set of imporvements to the IEEE 1801 standard are now available in the 2013 version of that standard. To help bring the standard to life, five representatives from the IEEE joined to deliver a tutorial at DVCon in 2013. Qi Wang (Cadence), Erich Marschner (Mentor), Jeffrey Lee (Synopsys), John Biggs (ARM), and Sushma Honnavarra-Prasad (Broadcom) each contributed to the tutorial. It started with a review of the UPF basics that led to the IEEE 1801 standard delivered by the EDA companies. The IEEE 1801 users then presented tutorial content on how to apply the standard. The session then concluded with a look forward to the IEEE 1801-2013 (UPF 2.1) standard. The standard was released two months after the DVCon tutorial and is available through the Accellera Get program. So after the bowl games are over and you'vre returned through the woods and back over the river from Grandma's, grab a cup of hot cocoa and learn more about the power standards you may well be using in 2014. Regards, Adam "The Jouler" Sherer Full Article Low Power IEEE 1801 IEEE 1801-2013 Accellera UPF 2.1 UPF
ac netlist extraction from assembler in cadence virtuoso By feedproxy.google.com Published On :: Thu, 27 Feb 2020 10:23:03 GMT Hello , i am trying to extract netlist from a circuit in assembler I have found the manual shown bellow , however there is no such option in tools in assembler. how do i view the NETLIST of this circuit? Thanks. ASSEMBLER VIEW menu Full Article
ac How to install PLL Macro Model Wizard? By feedproxy.google.com Published On :: Tue, 10 Mar 2020 04:13:35 GMT Hello, I am using virtuoso version IC 6.1.7-64b.500.1, and I am trying to follow the Spectre RF Workshop-Noise-Aware PLL Design Flow(MMSIM 7.1.1) pdf. I could find the workshop library "pllMMLib", but I cannot find PLL Macro Model Wizard, and I attached my screen. Could you please help me install the module "PLL Macro Model Wizard"? Thanks a lot! Full Article
ac gm of an active mixer By feedproxy.google.com Published On :: Wed, 18 Mar 2020 11:36:34 GMT Hi all, What is the most accurate way to simulate the gm of RF transistors (RF stage) of an active mixer (single balanced or Gilbert cell)? I tried to simulate it with many ways such as: 1. DC annotation (but of course its incorrect due to the switching operation of the mixer) 2. d(i_ds)/d(v_gs) using HB analysis and then taking the value at zero (since it is a DC characteristic). In this way I chose in the simulator results of HB: Voltage, spectrum, rms, magnitude. 3. Using the OP, OPT buttons in the calculator and then extracting the gm of the transistor. The problem is that each way gives a different value which makes the procedure of designing an active mixer very difficult. In addition, when I simulate the voltage conversion gain of the active mixer and trying to compare it to the formula (2/pi)*gm*RL (either in linear or dB), I get numbers which are way too far from simulations. I understand that I would not get the same results but not different by hundreds percent. I see in many publications that people are plotting graphs of mixer's gm vs. different parameters and starting to doubt whether the results are correct. I would appreciate any help, Thanks in advance Full Article
ac extracting s2p file By feedproxy.google.com Published On :: Tue, 21 Apr 2020 18:04:18 GMT Hello, i managed to extract my S-param data into vcsv file,however i need a standart S2P file i have this table displayed, as shown bellow.is there a way to extract s2p file in cadence virtuoso?Thanks. Full Article
ac axlDBTextBlockCompact(nil) By feedproxy.google.com Published On :: Thu, 20 Feb 2020 23:10:47 GMT I am trying to understand why axlDBTextBlockCompact(nil) on my test case says it can compact the text blocks down to 38, whereas I find only a total of 26 unique text block references in axlDBGetDesign()->text, axlDBGetDesign()->symbols and axlDBGetDesign()->symdefs. Where else are text blocks used besides these three? Full Article
ac Updating/replacing/creating new film records By feedproxy.google.com Published On :: Sat, 22 Feb 2020 01:08:11 GMT We have many legacy board designs which have non-standard films. I'm writing SKILL code to automatically align a board's film records with our internal standard. While I'm sure there will be multiple questions, here are the first two I've run into: 1. It seems the polyCutLayer parameter of axlFilmCreate() doesn't work. You can easily see this for yourself. Try typing "axlFilmCreate("test" ?polyCutLayer nil)" on the command window in Allegro. I'm returned "nil", indicating the film could not be created, and I see "*WARNING* (axlFilmCreate): Invalid option type: ?polyCutLayer" in the command window. Just to try a different parameter and see that it works, try "axlFilmCreate("test" ?negative t)". I'm returned a "t" and the film is created. Page 139 of 17.4-2019 algroskill.pdf shows this parameter and I can see it listed if I inspect an existing from from the DB, so what gives? Is the polyCutLayer parameter broken when creating films? 2. In conjunction with the above, if I loop through all current films and use axlDeleteObject() to remove them all, and then try to create new films but give an argument to the polyCutLayer parameter, films containing copper layers seem to be automatically created. There are four films (my test board has four layers) with the ETCH/, PIN/, and VIA CLASS/ subclasses. I am able to manually delete all films and see absolutely no films at all. Is there something weird going on here or is this to be expected for some reason? I'm running Allegro 17.4s002. Full Article
ac Skill code to Calculating PCB Real-estate usage using placement boundaries and package keep ins By feedproxy.google.com Published On :: Wed, 04 Mar 2020 18:37:43 GMT Other tools allow a sanity check of placement density vs available board space. There is an older post "Skill code to evaluate all components area (Accumulative Place bound area)" (9 years ago) that has a couple of examples that no longer work or expired. This would be useful to provide feedback to schismatic and project managers regarding the component density on the PCB and how it will affect the routing abilities. Thermal considerations can be evaluated as well Has anyone attempted this or still being done externally in spread sheets? Full Article
ac Find pin attached to a cline By feedproxy.google.com Published On :: Mon, 09 Mar 2020 02:17:47 GMT Hello All, After selecting a cline (using axlSingleSelectBox), may I know how to obtain the dbid of the 'pin' connected to the end of the cline? Thanks All Full Article
ac is there a way to use axlDBCreateShape to create a Dynamic shape attached to a symbol? By feedproxy.google.com Published On :: Mon, 09 Mar 2020 21:12:47 GMT Currently I tried this: axlDBCreateShape(recPolyPlanes t "BOUNDARY/L02" netName sym1) I get a atom error on car(sym1) I can do this "static" using ETCH/L02 with out an issue, but I am trying to avoid doing an axlShapeChangeDynamicType(). Thanks, Jerry Full Article
ac axlShapeAutoVoid not voiding Backdrill shapes By feedproxy.google.com Published On :: Fri, 13 Mar 2020 22:49:44 GMT Hi all, I am creating shapes on plane layers for a coupon and want to void them using axlShapeAutoVoid() The shapes are attached to a symbol. I've tried using axlShapeAutoVoid, but this only voids the pins, not the route keepouts created by nc_backdrill. I also tried selecting the shape, individually, then running axlShapeAutoVoid. That was unsuccessful, also. planeShapes is a list of shapes I created. The code for voiding: ;run backdrill to get route keepouts axlShell("setwindow pcb;backdrill setup ;setwindow form.nc_backdrill;FORM nc_backdrill apply ;FORM nc_backdrill close") foreach(sHape planeShapes axlShapeAutoVoid(car(sHape)) ) Full Article
ac IMC : fsm coding style not auto extracted/Identified by IMC By feedproxy.google.com Published On :: Mon, 09 Dec 2019 20:27:44 GMT Hi, I've vhdl block containing fsm . IMC not able to auto extract the state machine coded like this: There is a intermediate state state_mux between next_state & state. Pls. help in guiding IMC how to recognize this FSM coding style? Snipped of the fsm code: ---------------------------------------------------------------------------------------------------------------------------------------------- type state_type is (ST_IDLE, ST_ADDRESS, ST_ACK_ADDRESS, ST_READ, ST_ACK_READ, ST_WRITE, ST_ACK_WRITE, ST_IDLE_BYTE); signal state : state_type; signal state_mux : state_type; signal next_state : state_type; process(state_mux, start) begin next_state <= state_mux; next_count <= (others => '0'); case (state_mux) is when ST_IDLE => if(start = '1') then next_state <= ST_ADDRESS; end if; when ST_ADDRESS => ……………. when others => null; end case; end process; process(scl_clk_n, active_rstn) begin if(active_rstn = '0') then state <= ST_IDLE after delay_f; elsif(scl_clk_n'event and scl_clk_n = '1') then state <= next_state after delay_f; end if; end process; process(state, start) begin state_mux <= state; if(start = '1') then state_mux <= ST_IDLE; end if; end process; Thanks Raghu Full Article
ac IMC: toggle coverage for package array By feedproxy.google.com Published On :: Mon, 23 Dec 2019 12:01:28 GMT Hello! I have input signal like this -> input wire [ADM_NUM-1:0][1:0] m_axi_ddr_rresp. When i want to analyze coverage from IMC this signal not covered! Can i collect coverage for this signal? Full Article
ac IC Packagers: Five Steps to IC-Driven Package Design By feedproxy.google.com Published On :: Thu, 05 Mar 2020 17:23:00 GMT They say Moore's law is slowing. It may be slowing but it is still running - it has not stopped! And, it has been running at full throttle for quite a few decades now. The net result of this run? Well, you can't design ICs in isolation from the...(read more) Full Article Allegro Package Designer
ac IC Packagers: The Different Types of Mirrors By feedproxy.google.com Published On :: Tue, 10 Mar 2020 15:19:00 GMT I’m not talking about carnival funhouse mirrors, but rather the different options for mirroring symbols, vias, and bond fingers in your IC Package layout. The Allegro Package Designer Plus and SiP Layout tools have two distinct styles of m...(read more) Full Article Allegro Package Designer
ac IC Packagers: Design Element Label Management By feedproxy.google.com Published On :: Wed, 18 Mar 2020 13:46:00 GMT A few weeks ago, we talked about template text labels for design-specific information. There, we were focused on labels that are specific to the design as a whole: revision information, dates, authors, etc. Today, we’re looking at a diff...(read more) Full Article Allegro Package Designer Allegro PCB Editor
ac IC Packagers: Identify Your Components By feedproxy.google.com Published On :: Tue, 24 Mar 2020 14:19:00 GMT We’ve all seen bar codes and the more modern QR codes. They’re everywhere you go – items at the grocery store, advertisements and posters, even on websites. Did you know that, with the productivity toolbox in Allegro Package Designe...(read more) Full Article Allegro Package Designer Allegro PCB Editor
ac IC Packagers: Don’t Get Stranded on Islands, Delete Them! By feedproxy.google.com Published On :: Tue, 31 Mar 2020 14:44:00 GMT No, this isn’t a Hollywood movie. We’re talking about pieces of plane shapes with no connections to them, not an idyllic private oasis in the Caribbean (sorry). Removing shape islands is something you’ve always been able to do in th...(read more) Full Article Allegro Package Designer Allegro PCB Editor
ac IC Packagers: A New Option in Bond Finger Solder Mask Openings By feedproxy.google.com Published On :: Tue, 07 Apr 2020 14:17:00 GMT If you design wire bond packages, you’re familiar with the need for the bond fingers and rings on the package substrate layers to be exposed through the solder mask layer. If they aren’t, it becomes… rather difficult… to bon...(read more) Full Article Allegro Package Designer
ac IC Packagers: Time-Saving Alternatives to Show Element By feedproxy.google.com Published On :: Tue, 14 Apr 2020 15:04:00 GMT In the Allegro back-end layout products like Allegro Package Designer Plus, it would be reasonable to assume that the most often used command is none other than “show element” (shortcut key F4). This command, runnable at nearly any t...(read more) Full Article Allegro Package Designer Allegro PCB Editor
ac IC Packagers: You Can Leave Your (Molding) Cap On… By feedproxy.google.com Published On :: Tue, 21 Apr 2020 14:27:00 GMT Molding caps aren’t something we talk about too frequently around here. We all know they exist, and they serve an important purpose of protecting the delicate die from potentially harsh environmental conditions. They impact how well heat can be...(read more) Full Article Allegro Package Designer
ac IC Packagers: Shape Connectivity in the Allegro Data Model By feedproxy.google.com Published On :: Tue, 28 Apr 2020 13:14:00 GMT Those who work in the IC Packaging design space have some unique challenges. We bridge between the IC design world (90/45-degree traces with rectangular and octagonal pins) and the PCB domain (any-angle routing, filled planes, and a multitude of pad ...(read more) Full Article Allegro Package Designer Allegro PCB Editor
ac IC Packagers: Advanced In-Design Symbol Editing By feedproxy.google.com Published On :: Wed, 06 May 2020 14:09:00 GMT We have talked about aspects of the in-design symbol edit application mode in the past. This is the environment specific to the Allegro Package Designer layout tools allowing you to work on symbol definitions directly in the context of your layout de...(read more) Full Article Allegro Package Designer
ac Placement by Schematic Page Problem (Not Displaying All Page) By feedproxy.google.com Published On :: Wed, 29 Apr 2020 02:30:24 GMT I am using PCB Editor v17.2-2016. I tried to do placement by schematic page but not all pages are displayed. Earlier, I successfully do the placement by schematic pages and it was showing all the pages. But then I decided to delete all placed components and to do placement again. When I try to do placement by schematic page again, I noticed that only the pages that I have successfully do all the placement previously are missing. Full Article