science and technology Special Route not connecting to Power Rings By feedproxy.google.com Published On :: Tue, 31 Dec 2019 15:47:05 GMT Hi, I'm a newbie and I'm working on a mixed-signal chip in Innovus. I've got a few analog LEF files that I've imported into my floorplan as macros. My chip has got two power domains - VCC and VBAT. One of the macro in the VBAT domain uses VBAT and GND as power rails KrogerFeedback.com. On doing Special-Route, I've got a lot of minute power rails for the standard cells, as expected. But, the VBAT power rails are not getting extended till the outer power rings. Only the GND rails are correctly getting extended till the outer power rings. A screen shot is attached for reference. Thanks for any help Full Article
science and technology What's the difference between Cadence PCB Editor and Cadence Allegro? By feedproxy.google.com Published On :: Thu, 02 Jan 2020 09:15:36 GMT Are they basically the same thing? I am trying to get as much experience with Allegro since a lot of jobs I am looking at right now are asking for Cadence Allegro experience (I wish they asked for Altium experience...). I currently have access to PCB Editor, but I don't want to commit to learning Editor if Allegro is completely different. Also walmart one, are the Cadence Allegro courses worth it? I won't be paying for it and if it's worth it, I figure I might as well use the opportunity to say I know how to use two complex CAD tools. Full Article
science and technology Cadence SoC Encounter 8.1 - Keyboard is not working By feedproxy.google.com Published On :: Tue, 21 Jan 2020 21:45:03 GMT Hello, I am using Encounter 8.1. My mouse is working fine, but my keyboard is not working well in Encounter. I can type in some boxes, but in many boxes I cannot type. The binding key is also not responding. How do I fix this issue? Thanks. Full Article
science and technology LVS Error By feedproxy.google.com Published On :: Tue, 28 Jan 2020 03:52:43 GMT Hi, I am new to cadence. I started out designing an inverter and ran LVS. I made sure that the labels are matching in both schematic and layout. But I run into the following error while LVS stating that "No matching sub-ckt found for NFET and PFET". Can someone provide insight into this? Full Article
science and technology regarding digital flow By feedproxy.google.com Published On :: Sat, 01 Feb 2020 11:11:53 GMT Respected sir, How can i design and simulate cmos inverter using digital flow and also ineed to do prelayout ans post layout for the same cmos inverter..can i use cadence encounter for this experiments Full Article
science and technology Voltus power analysis By feedproxy.google.com Published On :: Sun, 02 Feb 2020 14:52:27 GMT Hi, I was wondering if it is possible to save the coordinates of each stripe and row of the power grid and if it is possible to find out the effective resistance between two given points using Voltus My goal is to built a resistance model of the power grid Thanks Full Article
science and technology License Issue By feedproxy.google.com Published On :: Fri, 07 Feb 2020 05:00:12 GMT This are the Errors i am getting can you please provide the solution. Checking out license: Genus_Synthesis (12 seconds elapsed).License 'Genus_Synthesis' (main version: 17.2, alternate version: 17.2) checkout failed.Checking out license: Virtuoso_Digital_Implem (12 seconds elapsed).License 'Virtuoso_Digital_Implem' (main version: 17.1, alternate version: 17.1) checkout failed.Checking out license: Virtuoso_Digital_Implem_XL (12 seconds elapsed).License 'Virtuoso_Digital_Implem_XL' (main version: 17.1, alternate version: 17.1) checkout failed.Cannot obtain 'Genus_Synthesis' license.Abnormal exit. Full Article
science and technology Innovus Stylus Common UI By feedproxy.google.com Published On :: Mon, 10 Feb 2020 17:48:06 GMT How can I make innovus start with common UI instead of legacy? When I launch Innovus with command "innovus", I get the legacy UI. I have Innovus version 17.11 installed. Thanks in advance. Full Article
science and technology How do I write the LEF view of a power pad By feedproxy.google.com Published On :: Wed, 19 Feb 2020 18:13:00 GMT I have a set of pads for use in a design and I was wondering which attributes should I put on each pin. Let's say it has the following pins: - inh_vdd, inh_vss, CORE, PAD where the first two are for the pad rings, the CORE pin is to use in the die and the PAD pin is the bonding pad. I guess CORE would need: CLASS CORE USE POWER (or GROUND if this happened to be a ground pad) What about the inh_vdd and inh_vss? Theyu would not have the CLASS CORE, but would I use USE POWER/GROUND on them too? USE POWER (or GROUND) SHAPE ABUTMENT And the bonding pad? Should I put it in the LEF? Or would that cause confusion to innovus or Voltus? And what attributed would it use? USE POWER/GROUND only? Do I need anything in the LEF to indicate that the pin CORE and the pin PAD are essentially the same thing, just different places on the same power pad? Full Article
science and technology Can Voltus do an IR drop analysis on a negative supply? By feedproxy.google.com Published On :: Wed, 19 Feb 2020 18:20:47 GMT I have been using Voltus to do IR drop analysis but I got caught on one signal. It is negative. When I use: set_pg_nets -net negsupply -voltage -5 -threshold -4.5 -package_net_name NEGSUP -force Voltus dies with a backtrace. Looking at the beginning of the trace you see it suggests that the problem is it set maximum to -5 and minimum to 0. Is there another way to express a negative voltage supply for IR drop analysis? Full Article
science and technology How do I setup a student License? By feedproxy.google.com Published On :: Sun, 01 Mar 2020 20:52:05 GMT I recently received a student version or OrCad, which I was able to download and install without trouble. However, I do not know how to setup my license. I received the license file in an email. The instructions within the file were to include my hostname and the absolute path. I do not know what the path should point to so I left it empty. I was able to setup the licence server using the license file without any issues. However, setting up the licence configuration utility gives the following messages: A user environment variable name CDC_LIC_FILE is found. The CDC_LIC_FILE settings you make will be overwritten by this user level variable. Furthermore, I get the error: ERROR: Unable to update the CDS_LIC_FILE license path environment variable. This is preventing me from using any of the software. What are the steps to installing the license and how could I resolve this error? Thank you Full Article
science and technology Verilog Code to Custom IC Layout generation By feedproxy.google.com Published On :: Mon, 02 Mar 2020 21:35:36 GMT Hello everyone, I am Vinay and I am currently developing some digital circuits for my chip design for my master's thesis at University at Buffalo. I am fairly very new to Verilog and I don't seem to follow some of the things others find very easy. Following are the things that I want to do to which I have no clue: 1. Develop certain arithmetic functionality in Verilog 2. Generate netlist for the verilog code 3. Feed the netlist file to Cadence encounter to be able to generate Digital Circuits' layout for my chip I can use Cadence Virtuoso and Encounter for this but I don't know the exact procedure to get this done. Could someone please describe the detailed process for doing the things mentioned above. Thank you. Full Article
science and technology Which algorithm is used in Modus ATPG? By feedproxy.google.com Published On :: Mon, 09 Mar 2020 13:29:27 GMT According to the book Electronic Design Automation For Integrated Circuits Handbook there are mutiple algorithms available. Quote from book: "One of the first complete ATPG algorithms is the D-algorithm [9]. Subsequently, other algorithms were proposed, including PODEM [14], FAN [15], and SOCRATES [10]." I was wondering which algorithms are used in Cadence Modus. Full Article
science and technology About modus design constraints By feedproxy.google.com Published On :: Fri, 13 Mar 2020 12:09:02 GMT Hi! In my design, there is an one hold violation on scan path, test data is corrupted during scan cycles (when i run verilog simulation of test vectors). I created constraint 'falsepath' to 'TI' input of violated flop and load it into Modus, but this does not have effect. Can enyone explain to me, does 'falsepath' constraint affects scan path (from Q to TI/SI input, i.e. during SCAN procedure) or this constraint is only for functional mode (ie affects TEST cycle only - to 'D' input)? I hope resolve this problem this by using some modus design constraints or any other method. Full Article
science and technology Quantus Qrc Extraction of a block By feedproxy.google.com Published On :: Fri, 27 Mar 2020 11:36:28 GMT I have completed physical design of a block in innovus. I want to extract rc of that block using quantus . It will be very helpful if you give step by step procedure and command to run quantus to extract rc of that block. Full Article
science and technology In power pins unconnected By feedproxy.google.com Published On :: Tue, 31 Mar 2020 09:59:11 GMT Hi, When I import the top level Verilog file generated by Genus into Virtuoso, the power pins are left unconnected. I tried different configurations in "Global Net Options" tab. However, nothing changed. The cell is imported with three views, namely functional, schematic, and symbol. In www krogerfeedback com functional view everything looks OK, that is the top level Verilog file. In schematic, I can see the digital cells but VDD and VSS pins of the blocks are not connected. In the symbol view there are no pins for VDD and VSS. On top, we are trying to implement a digital block into Virtuoso. The technology is TSMC 65nm. On Genus and Innovus, everything goes straight and layout is generated successfully. Thanks. Full Article
science and technology Interaction between Innovus and Virtuoso through OA database By feedproxy.google.com Published On :: Mon, 06 Apr 2020 14:32:45 GMT Hello,I created a floorplan view in Virtuoso ( it contains pins and blockages). I am trying to run PnR in Innovus for floorplan created in Virtuoso. I used set vars(oa_fp) "Library_name cell_name view_name" to read view from virtuoso. I am able to see pins in Innovus but not the blockages. Can i know how do i get the blockages created in virtuoso to Innovus. Regards,Amuu Full Article
science and technology How to write Innovus Gui command to a cmd/log file? By feedproxy.google.com Published On :: Tue, 07 Apr 2020 03:07:13 GMT HI, I have been using the Innovus GUI commands for several things and wonder if those command can be written to a log or cmd file so I can use it in my flow script? Is there such options that we can set? Thanks Full Article
science and technology How to place pins inside of the edge in Innovus By feedproxy.google.com Published On :: Fri, 10 Apr 2020 04:02:08 GMT Hi, I am doing layout for a mixed-signal circuit in Innovus. I want to create a digital donut style of layout (i.e. put analog circuit in the middle, and circle analog part with digital circuits). To do that, I need to place some pins inside the edge to connect to analog circuit (as shown in my attachment), but the problems is that I cannot place pins inside the edge by using "pin editor" within Innovus. Any suggestions to place pins inside? Thank you so much for your time and effort. Full Article
science and technology checkRoute or VerifyConnectivity By feedproxy.google.com Published On :: Wed, 15 Apr 2020 09:54:07 GMT Hello Everyone, I was finishing the layout via Innovus and ran verifyConnectivity followed by checkRoute. verifyConnectivity was okay and it showed no errors and no warnings, whereas checkRoute showed there are 3 unrouted nets. When i ran the checkRoute command again immediately, it showed no unrouted/unconnected nets. Which of these commands should we trust or is this really unrouted nets issue? Looking forward for a response, thanks in advance. Regards, Vijay Full Article
science and technology Viewing RTL Code Coverage reports with XCELIUM By feedproxy.google.com Published On :: Wed, 06 May 2020 09:30:28 GMT Hi, There was tool available with INCISIV called imc to view the coverage reports. The question is: How can we view the code coverage reports generated with XCELIUM? I think imc is not available with XCELIUM? Thanks in advance. Full Article
science and technology stretching LOW pulse signal for extra 100ns By feedproxy.google.com Published On :: Tue, 18 Jun 2019 12:02:54 GMT Hello, i have a logic output from a D-flipflop which generates a reset signal with variable pulse width. I want to stretch this LOW pulse width with an extra 100ns added to the original pulse width digitally, is there any way to do that? Full Article
science and technology Reuse of Schematics across different Projects By feedproxy.google.com Published On :: Mon, 24 Jun 2019 14:01:17 GMT Hi All, I have 1 huge project(day X) which has different reference power supply designs. Now I start a new project and I require 1 specific reference power supply from X. What is the easist way to do this, other than a copy paste. Is there a way to create say symbols or something similar, so that multiple different people could use it if they need, in their projects Thanks for your help and suggestions. Full Article
science and technology Mouse wheel and [i][o] button doesn't zoom By feedproxy.google.com Published On :: Tue, 16 Jul 2019 02:49:43 GMT Hi, I recently encountered a probelm where scrolling with the mouse wheel and [i][o] button does not zoom in or out both in "Allegro orcad capture CIS 17.2.2016 " . When I scroll the mouse wheel or [i][o] button, nothing is done. The thing is that it worked fine until yesterday. Anyone has an idea? Thanks, Dung. Full Article
science and technology Post-synthesis Simulation Failing when lp_insert_clock_gating true By feedproxy.google.com Published On :: Wed, 14 Aug 2019 18:36:21 GMT When I enable clock gating in my synthesis flow (using Genus 18.15), my simulation (using Xcelium) on the post-synthesis netlist fails. The simulation succeeds pre-synthesis and also if I remove clock-gating in the design. I use set_db lp_insert_clock_gating true to enable clock gating during synthesis. I printed out some of the signals from the netlist and can see where it fails (it incorrectly writes a register). However, I am not sure how to solve this issue or what I should be looking for. Any help would be appreciated. Thanks. Full Article
science and technology How to customize default_hdl_checks/rules in CCD conformal constraint designer By feedproxy.google.com Published On :: Tue, 03 Sep 2019 08:12:48 GMT Dear all, I am using Conformal Constraint Designer (Version 17.1) to analyse a SystemVerilog based design. While performing default HDL checks it finds some violations (issues) in RTL and complains (warnings, etc) about RTL checks and others. My questions: Is there any directive which I can add to RTL (system Verilog) so that particular line of code or signal is ignored or not checked for HDL or RTL checks. I can set ignore rules in rule manager (gui) but it does not seems effective if code line number changes or new signals are introduced. What is the best way to customize default_hdl_rules ? I will be grateful for your guidance. Thanks for your time. Full Article
science and technology SystemVerilog package used inside VHDL-2008 design? By feedproxy.google.com Published On :: Thu, 17 Oct 2019 15:46:22 GMT Hi, Is it possible to use a SystemVerilog package which is compiled into a library and then use it in a VHDL-2008 design file? Is such mixed-language flow supported? I'm considering the latest versions of Incisive / Xcelium available today (Oct 2019). Thank you, Michal Full Article
science and technology allegro 16.6 pcb export parameters error By feedproxy.google.com Published On :: Tue, 29 Oct 2019 12:11:35 GMT hi all, what wrong with the error "param_write.log does not exist" when i export parameters in allegro 16.6 pcb board. someone can provide suggestions, thanks. best regards. Full Article
science and technology Force cell equivalence between same-footprint and same-functionality hard-macros in Conformal LEC By feedproxy.google.com Published On :: Thu, 14 Nov 2019 19:13:48 GMT For a netlist vs. netlist LEC flow we have to solve the following problem: - in the RTL code we replicate a large array of N x M all-identical hard-macros, let call them MACRO_A - MACRO_A is pre-assembled in Innovus and contains digital parts and analog parts (bottom-up hierarchical flow) - at top-level (full-chip) we instantiate this array of all-identical macros - in the top-level place-and-route flow we perform ecoChangeCell to remaster the top row of this array with MACRO_B - MACRO_B is just a copy of the original MACRO_A cell containing same pins position, same internal digital functionality and also same digital layout, only slight differences in one analog block inside the macro - MACRO_A and MACRO_B have the same .lib file generated with the do_extract_model command at the end of the Innovus flow, they only differ in the name of the macro - when performing post-synthesis netlist vs post-place-and-route we load .lib files of both macros in Conformal LEC - the LEC flow fails because Conformal LEC sees only MACRO_A instantiated in the post-synthesis netlist and both MACRO_A and MACRO_B in the post-palce-and-route netlist Since both digital functionality and STD cells layout are the same between MACRO_A and MACRO_B we don't want to keep track of this difference already at RTL stage, we just want to perform this ECO change in place-and-route and force Conformal to assume equivalence between MACRO_A and MACRO_B . Basically what I'm searching for is something similar to the add_instance_equivalences Conformal command but that works between Golden and Revised designs on cell primitives/black-boxes . Is this flow supported ? Thanks in advance Luca Full Article
science and technology genus include `define file By feedproxy.google.com Published On :: Mon, 25 Nov 2019 15:35:21 GMT I have a file that list all the `defines that is used in the current design. This file (define.vh) is generated, like so : `define MACRO_1 5 `define MACRO_2 1'h0 ... etc But in genus when I run the command read_hdl define.vh read_hdl -sv top.sv The tool work as if the defines never get parsed and returns with unreferenced errors. How can I resolve this? Do I have to include 'define.vh' in all the design files? Full Article
science and technology GENUS can't handle parameterized ports? By feedproxy.google.com Published On :: Fri, 20 Dec 2019 22:15:34 GMT The following is valid SystemVerilog: module mmio #(parameter PORTS=2, parameter ADDR_WIDTH=30) (input logic[ADDR_WIDTH-1:0] addr[PORTS], output logic ben[PORTS], // Bus enable output logic men[PORTS]); // Memory enable always_comb begin for(int i = 0; i < PORTS; i++) begin ben[i] = addr[i] >= 'h20080004 && addr[i] < 'h200c0000; men[i] = ~ben[i]; end endendmodule : mmio And if you instantiate it: mmio #(1, 30) MMIO(.addr('{scalar_addr}), .ben('{ben}), .men('{men})); Genus returns an error: "Could not synthesize non-constant range values. [CDFG-231] [elaborate]" Is this just not possible in Genus or could it be caused by something else? Full Article
science and technology How to dump waveform, fsdb in SimVision? By feedproxy.google.com Published On :: Thu, 09 Jan 2020 02:30:31 GMT As title, How to dump waveform, fsdb in SimVision? (Simulation Analysis Environment SimVision(64) 18.09-s001)Please help. Thanks. Full Article
science and technology Simvision By feedproxy.google.com Published On :: Fri, 10 Jan 2020 18:57:23 GMT Unable to open Source Browser. It goes into an infinite loop of loading, with the hour glass displayed and message "loading snapshot". The deisgn was compilied with "15.20.s028" and that is the same version of simvision I am using to open the code. The waveform viewer is uo and so is the Design Browser. I can take signals from Design Broweser and view the waveform viewer, but the source brower hangs. What should I be looking at to resolve this situation. Many of us at the company are sufferring from it. Colossal waste of time. . Full Article
science and technology About SDF file after synthesis in Genus Tool By feedproxy.google.com Published On :: Thu, 20 Feb 2020 09:47:17 GMT hello sir this is Ganesh from NIT Hamirpur pursuing MTech in VLSI. I have doubt regarding SDF i'm using genus tool for synthesis & after synthesis when i'm generating SDF it is giving delays by default for maximum values but i want all the delays like minimum:Typical:Maximum how can i do this. Is there any provision to set PVT values manually for SDF generation so that i can get all the delay values. Full Article
science and technology map_to_mux By feedproxy.google.com Published On :: Wed, 26 Feb 2020 07:54:40 GMT HI! I want to use map_to_mux pragma for a particular logic in my code, which is in generate block. module xyz parameter P_IN_WIDTH= 100 parameter P_OUT_WIDTH =100 parameter P_XXX = 1 parameter P_ZZZ=1 parameter P_IN_OFFSET_WD = 10 input [P_IN_WD-1:0] in_data; input [P_IN_OFFSET_WD-1:0] in_offset; output [P_IN_WD-1:0] out_data; generate if (P_XXX == 1) // cadence infer_mux "MUX"// cadence map_to_mux "MUX" begin : XXX assign c_out = (in_data >> in_offset*P_ZZZ); end else // cadence infer_mux "MUX"// cadence map_to_mux "MUX" begin : YYY assign c_out = (in_data << in_offset*P_ZZZ); end endgenerate endmodule Full Article
science and technology About SDF file By feedproxy.google.com Published On :: Thu, 27 Feb 2020 13:56:14 GMT How to get minimum: typical: maximum values in SDF I am using Genus synthesis tool there default setting is for max value. But I want all the values please guide me. Full Article
science and technology About SDC file By feedproxy.google.com Published On :: Thu, 27 Feb 2020 13:58:58 GMT Which things we have to mention in SDC for combinational design? How to create virtual clock? Full Article
science and technology Allegro System Architect 17.2 Project Settings not Opening By feedproxy.google.com Published On :: Wed, 08 Apr 2020 07:02:20 GMT I have been working on a an ASA 17.2 project for the last 6 months. When I go to Project --> Settings, the settings window does not open. The tool indicates that a window is open, as I cannot click on anything else in the project. But it does not show the Settings window. This has been happening only for the last 2 months. Before that it was working fine. If I send the project to my colleague, the settings window shows up for him. Full Article
science and technology Multiple commands using ipcBeginProcess By feedproxy.google.com Published On :: Mon, 27 Apr 2020 14:37:17 GMT Hi, I am trying to use "sed -e 's " from SKILL code to edit unix file "FileA", to replace 3 words in the 2nd line. How to run below multiple commands using ipcBeginProcess, Should I use ipcWait or ipcCloseProcess ? Using && to combine , will that work as I have to work serially on each command. ? With below code only the first command gets executed. Please advise. FileA="/user/tmp/text1.txt" sprintf(Command1 "sed -e '2s/%s/%s/g' %s > %s" comment1 get(form concat("dComment" RDWn))->value FileA FileA) cid = ipcBeginProcess(Command1) sprintf(Command2 "sed -e '2s/%s/%s/g' %s > %s" Time getCurrentTime() FileA FileA) cid1 = ipcBeginProcess(Command2) sprintf(Command3 "sed -e '2s/%s/%s/g' %s > %s" comment2 get(form concat("Duser" RDWn))->value FileA FileA) cid2 = ipcBeginProcess(Command3) Thanks, Ajay Full Article
science and technology Not able to close a form By feedproxy.google.com Published On :: Tue, 28 Apr 2020 11:13:08 GMT Hi, I am trying to write a skill code where it takes form inputs by default and just displays tree directly. i have written below code, procedure( create_tree() let(() leHiTree() leTreeForm->treeOption->value="Current to user level" leTreeForm->userLevel->value= 31 ipcSleep(1) hiFormDone(leTreeForm) )) the form takes in values but it is not closing. tried with regtimer in place of ipc sleep, didn't work. how to close form(should be same as pressing OK)? Thanks in advance, vishwas Full Article
science and technology leLSW layer issue By feedproxy.google.com Published On :: Tue, 28 Apr 2020 20:48:45 GMT I have a technology library (given by foundry) with leLsw layer section defined.I do not want to touch it I added few layers with an ITDB approach. Now I'm unable to see the added layers, as it is not present in the leLsw layer section of the main techlib. I want the user of the new techlib to see all the layers by default.(I don't want the users to go to the properties of palette and switch the display option to techfile layers instead of leLsw) Full Article
science and technology SKILL to Identify a LABEL over an Instance By feedproxy.google.com Published On :: Wed, 29 Apr 2020 18:32:44 GMT Hello, I am in a need of a skill program to find all instances of a specific cell (Including Mosaics), throughout the hierarchy. The program should print the instance's name, xy coordinates at the top level, and extract a label name that is dropped on top of it. In case there is no label on top of the found instance, the program should print "No Label Found" in the report text file. This program aims to map PADs cells within top level. I am using the below Cadence's solution to find instances and it works well. The missing feature is to identify LABELs that are on top of the found instances. I tried to use dbGetOverlap() function, within the below code, in few setups but it seems to fail to identify the existence of labels on top of the found instances. For example: overlapLabel=dbGetTrueOverlaps(cv cadr(instBox) list("M1" "text")) I am interested to add to the Cadence's solution below some code in order to identify labels on top of the found instances. Any tip would be greatly appreciated. Thanks, Danny -------------------------------------------------------- procedure(HilightCellByArea(lib cell level) let((cv instList rect instBox) ;; Deleting old highlights.To prevent uncomment the below line when(boundp('hset) hset->enable=nil) cv=geGetWindowCellView() rect=enterBox( ?prompts list("Enter the first corner of your box." "Enter the last corner of your box.") ) instList=dbGetOverlaps(cv rect nil level nil) ;; It uses hilite layer packet. You can change it to y0-y9 layer or any other hilite lpp ;;hset = geCreateHilightSet(cv list("y0" "drawing") nil) ;;hset = geCreateHilightSet(cv list("hilite" "drawing1") nil) hset = geCreateHilightSet(cv list("hilite" "drawing") nil) hset->enable = t foreach(instId instList if(listp(instId) then instBox=CCSTransformBBox(instId) instId=car(instBox) when(instId~>libName==lib && instId~>cellName==cell geAddHilightRectangle(hset cadr(instBox)) fprintf(myFileId, "Highlighted the %L instance %L of hierarchy at:%L " cell buildString(append1(caddr(instBox)~>name instId~>name) "/") cadr(instBox) foundFlag=t) ) else when(instId~>libName==lib && instId~>cellName==cell geAddHilightFig(hset instId) fprintf(myFileId, "Highlighted the %L instance %L of top cell at:%L " cell instId~>name instId~>bBox) foundFlag=t ) );if listp ) ;foreach t ) ;let ) ;procedure procedure(CCSTransformBBox(inst) let((flatList y location) while(listp(inst) y = car(inst) flatList = append(flatList list(y)) inst = cadr(inst) ; next inst );while location=dbTransformBBox(inst~>bBox dbGetHierPathTransform(list(flatList inst))) list(inst location flatList) );let );procedure Full Article
science and technology convert ircx to ict or emDataFile for Voltus-fi By feedproxy.google.com Published On :: Thu, 30 Apr 2020 01:04:07 GMT Hi, I want to convert ircx file(which is from TSMC,inclued EM Information) to ict or emDataFile for Voltus-fi. I tried many way, but I can not make it. Can anyone give me some advice? and I do not installed QRC. below is some tools installed my server. IC617-64b.500.21 is used. Full Article
science and technology How to get test name from test session object? By feedproxy.google.com Published On :: Thu, 30 Apr 2020 07:04:23 GMT Hi, I have a test session object that I am getting like this: maeTstSession=maeGetTestSession(test ?session session) Is it possible to get the test name from this object? I am asking because this object passed to several levels of functions and I don't want to pass an additional argument with the test name Full Article
science and technology customizing status toolbar By feedproxy.google.com Published On :: Thu, 30 Apr 2020 07:14:35 GMT Hi, I would like to add items like length of selected metal or area also in status tool bar. I have tried below option but I am getting warning as shown below. Could you please give suggestions. envGetVal("layout" "statusToolbarFields") *WARNING* envGetVal: Could not find variable 'statusToolbarFields' in tool[.partition] 'layout' Regards, Varsha Full Article
science and technology hiCreateAppForm with scrollbars and attachmentList By feedproxy.google.com Published On :: Thu, 30 Apr 2020 21:20:36 GMT Hello, I have created an appForm with the following attachmentList and size: ?attachmentList list(hicLeftPositionSet | hicRightPositionSet ; field 1 hicLeftPositionSet | hicRightPositionSet ; field 2etc. ?initialSize 800:800 ?minSize 800:800 ?maxSize 1600:800 If I reduce the minimum y-size (?minSize 800:200), scrollbars are not inserted, unless I remove the attachmentList constraints. Is it possible to have both scrollbars and "hicLeftPositionSet | hicRightPositionSet"? Thank you, Best regards, Aldo Full Article
science and technology Get schematic to layout bound stdcells for array By feedproxy.google.com Published On :: Fri, 01 May 2020 00:29:26 GMT I can get the bound stdcells using bndGetBoundObjects, but not get what each individual stdcell corresponds in layout. Is there a way to get the layout bound stdcells of an array schematic symbol if the layout stdcell name do or do not match the symbol naming? Once the schematic array stdcells are bound to the layout stdcells, how to get the correct terminal term~>name and net~>name? Example of a schematic symbol and layout stdcell: Schematic INV<0:2> instTerms~>terms~>name = ("vss" "vdd" "A" "Y") instTerms~>net~>name = ("<*3>vss" "<*3>vdd" "in<0:2>" "nand2A,nand3B,nor2B") Layout ( I know it is bad practice, but it happens ) stdcell1 instTerms~>terms~>name = ("vss" "vdd" "A" "Y") instTerms~>net~>name = ("vss" "vdd" "in<0>" "nand2A") I23 instTerms~>terms~>name = ("vss" "vdd" "A" "Y") instTerms~>net~>name = ("vss" "vdd" "in<1>" "nand3B") INV(2) instTerms~>terms~>name = ("vss" "vdd" "A" "Y") instTerms~>net~>name = ("vss" "vdd" "in<2>" "nor2B") Paul Full Article
science and technology Merge BBOX in hierarchical layout By feedproxy.google.com Published On :: Fri, 01 May 2020 05:01:07 GMT Hi Team, Problem Statement:In hierarchical layout, I want to get BBOX of particular layer without actually flattening the layout. Description:The layer can be at any hierarchical depth i.e both from PCELL or shapes but at top level if they are overlapping then I want the merged BBOX. Now, I am able to get BBOX of all the shapes present at different hierarchy.But i finding issue in merging BBOX. Please can help me on the same issue as I require efficient way to merge the BBOX because list containing the BBOX is huge. Thanks in advance. Regrads, Prasanna Full Article
science and technology How can I make a SKILL procedure not callable? By feedproxy.google.com Published On :: Fri, 01 May 2020 19:57:35 GMT Inside the scope of isCallable there is code which I don't want to be executed. The procedure named in isCallable to-day is callable. I want to make that procedure so it cannot be called. How do I do that? I can't change the isCallable line or the scope. I want to change its behavior by making sure that the procedure does not exist (obviously this would be done before the code is executed). Full Article
science and technology post-execution on an interrupted SKILL routine By feedproxy.google.com Published On :: Fri, 01 May 2020 23:35:50 GMT I have a SKILL script that executes the callback of a menu item, and depends on first redefining an environment variable. When a user interrupts the script with ctrl-C, the script cannot finish to set the environment variable back to its default value. How can I write the script in a way that handles a user interrupt to reset the changed environment variable after the interrupt? Full Article